OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [rtl/] [lpffir_axis.sv] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 vladimirar
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Low Pass Filter FIR  with AXI-Stream Interface              ////
4
////                                                              ////
5
////  This file is part of the LPFFIR project                     ////
6
////  https://opencores.org/projects/lpffir                       ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Implementation of AXI-Stream (AXIS) protocol rapper         ////
10
///   of LPFFIR IP core according to                              ////
11
////  LPFFIR IP core specification document.                      ////
12
////                                                              ////
13
////  To Do:                                                      ////
14
////  -                                                           ////
15
////                                                              ////
16
////  Author:                                                     ////
17
////  - Vladimir Armstrong, vladimirarmstrong@opencores.org       ////
18
////                                                              ////
19
//////////////////////////////////////////////////////////////////////
20
////                                                              ////
21
//// Copyright (C) 2019 Authors and OPENCORES.ORG                 ////
22
////                                                              ////
23
//// This source file may be used and distributed without         ////
24
//// restriction provided that this copyright statement is not    ////
25
//// removed from the file and that any derivative work contains  ////
26
//// the original copyright notice and the associated disclaimer. ////
27
////                                                              ////
28
//// This source file is free software; you can redistribute it   ////
29
//// and/or modify it under the terms of the GNU Lesser General   ////
30
//// Public License as published by the Free Software Foundation; ////
31
//// either version 2.1 of the License, or (at your option) any   ////
32
//// later version.                                               ////
33
////                                                              ////
34
//// This source is distributed in the hope that it will be       ////
35
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
36
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
37
//// PURPOSE.  See the GNU Lesser General Public License for more ////
38
//// details.                                                     ////
39
////                                                              ////
40
//// You should have received a copy of the GNU Lesser General    ////
41
//// Public License along with this source; if not, download it   ////
42
//// from http://www.opencores.org/lgpl.shtml                     ////
43
////                                                              ////
44
//////////////////////////////////////////////////////////////////////
45
 
46
module lpffir_axis (
47
                    input               aclk_i,
48
                    input               aresetn_i,
49
                    // AXI-Stream RX interface
50
                    input               rx_tlast_i,
51
                    input               rx_tvalid_i,
52
                    output logic        rx_tready_o,
53
                    input [15:0]        rx_tdate_i,
54
                    // AXI-Stream TX interface
55
                    output logic        tx_tlast_o,
56
                    output reg          tx_tvalid_o,
57
                    input               tx_tready_i,
58
                    output logic [15:0] tx_tdate_o
59
                    );
60
 
61
   logic                                lpffir_en = rx_tvalid_i && tx_tready_i;
62
 
63
   // AXI-Stream interface
64
   assign rx_tready_o = lpffir_en;
65
   assign tx_tvalid_o = lpffir_en;
66
   assign tx_tlast_o  = rx_tlast_i;
67
 
68
   // LPFFIR
69
   lpffir_core lpffir_core(
70
                           .clk_i(aclk_i),
71
                           .rstn_i(aresetn_i),
72
                           .en_i(lpffir_en),
73
                           .x_i(rx_tdate_i),
74
                           .y_o(tx_tdate_o)
75
                           );
76
 
77
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.