OpenCores
URL https://opencores.org/ocsvn/manchesterwireless/manchesterwireless/trunk

Subversion Repositories manchesterwireless

[/] [manchesterwireless/] [trunk/] [globals.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 kingmu
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package globals is
5
  -- number of data bits
6
  constant WORD_LENGTH : integer := 4;
7
 
8
  -- when each transmitter bit is 3.24 ms and the FPGA clock is 50 MHz
9 12 kingmu
  -- then:
10
 
11 3 kingmu
  -- single is nominally 23200
12 12 kingmu
  constant INTERVAL_MIN_SINGLE: integer := 34000;--10000
13 3 kingmu
  constant INTERVAL_MAX_SINGLE: integer := 65000;
14 12 kingmu
 
15 2 kingmu
  -- double is nominally 43000-50000
16 12 kingmu
  constant INTERVAL_MIN_DOUBLE: integer := 80000;--90000
17 2 kingmu
  constant INTERVAL_MAX_DOUBLE: integer := 120000;
18 12 kingmu
 
19 2 kingmu
  constant INTERVAL_QUADRUPLE: integer  := 650000;--350000
20
end globals;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.