OpenCores
URL https://opencores.org/ocsvn/manchesterwireless/manchesterwireless/trunk

Subversion Repositories manchesterwireless

[/] [manchesterwireless/] [trunk/] [singleDouble/] [synthTest.vhd] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 kingmu
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    16:03:52 06/22/2009 
6
-- Design Name: 
7
-- Module Name:    synthTest - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity synthTest is
31
  port (
32
    clk : in std_logic;
33
    ce_i    :  in  std_logic;
34
    reset   :  in  std_logic;
35
    data_i  :  in  std_logic;
36
    out_new     :  out std_logic_vector(3 downto 0);
37
    nd_new :  out std_logic
38
  );
39
end synthTest;
40
 
41
architecture Behavioral of synthTest is
42
 
43
 
44
  COMPONENT singleDouble
45
        PORT(
46
    clk_i   :  in  std_logic;
47
    ce_i    :  in  std_logic;
48
    rst_i   :  in  std_logic;
49
    data_i  :  in  std_logic;
50
    q_o     :  out std_logic_vector(3 downto 0);
51
    ready_o :  out std_logic
52
                );
53
        END COMPONENT;
54
 
55
begin
56
 
57
 
58
  Inst_modified: singleDouble PORT MAP(
59
    clk_i =>  clk,
60
    ce_i  =>  ce_i,
61
    rst_i  =>  reset,
62
    data_i   =>  data_i,
63
    q_o     =>  out_new,
64
    ready_o    =>  nd_new
65
  );
66
 
67
end Behavioral;
68
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.