OpenCores
URL https://opencores.org/ocsvn/md5_pipelined/md5_pipelined/trunk

Subversion Repositories md5_pipelined

[/] [md5_pipelined/] [trunk/] [Md5CoreTest.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 JohnLeitch
`timescale 1ns / 1ns
2
 
3
module Md5CoreTest;
4
 
5
reg clk, reset, test_all;
6
wire [31:0] a, b, c, d;
7
reg [31:0] count = 0;
8
reg [511:0] chunk;
9
 
10
Md5Core m (
11
  .clk(clk),
12
  .wb(chunk),
13
  .a0('h67452301),
14
  .b0('hefcdab89),
15
  .c0('h98badcfe),
16
  .d0('h10325476),
17
  .a64(a),
18
  .b64(b),
19
  .c64(c),
20
  .d64(d)
21
);
22
 
23
initial
24
  begin
25
    clk = 0;
26
    forever #10 clk = !clk;
27
  end
28
 
29
initial
30
  begin
31
    reset = 0;
32
    #5 reset = 1;
33
    #4 reset = 0;
34
  end
35
 
36
`define TestCase(__number, __passed, __a, __b, __c, __d, __chunk)                           \
37
reg __passed;                                                                               \
38
always @(posedge clk)                                                                       \
39
  begin                                                                                     \
40
    if (count == __number)                                                                  \
41
      begin                                                                                 \
42
        chunk <= __chunk;                                                                   \
43
      end                                                                                   \
44
                                                                                            \
45
    if (count == __number + 65) __passed <= a == __a && b == __b && c == __c && d == __d;   \
46
  end                                                                                       \
47
 
48
`TestCase(
49
  0,
50
  test0,
51
  'h35a8f271,
52
  'h39f4f1f4,
53
  'ha75fb5d4,
54
  'h4fba4572,
55
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000)
56
 
57
`TestCase(
58
  1,
59
  test1,
60
  'h7c9b108f,
61
  'hd07a467c,
62
  'h7352ebc5,
63
  'ha395f402,
64
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100001)
65
 
66
`TestCase(
67
  2,
68
  test2,
69
  'h89f035b0,
70
  'h376153aa,
71
  'h786deec9,
72
  'he4b6a605,
73
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100010)
74
 
75
`TestCase(
76
  3,
77
  test3,
78
  'h0eb78800,
79
  'h31c66081,
80
  'ha7618869,
81
  'h0d21345a,
82
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100011)
83
 
84
`TestCase(
85
  4,
86
  test4,
87
  'h6f38c6c2,
88
  'h22e7d460,
89
  'he70eab58,
90
  'hadda1dc0,
91
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100100)
92
 
93
`TestCase(
94
  5,
95
  test5,
96
  'h5db4ab0a,
97
  'h9ed72cd2,
98
  'h526b3edc,
99
  'h59960c96,
100
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100101)
101
 
102
`TestCase(
103
  6,
104
  test6,
105
  'h10bfdc6b,
106
  'hd2cd45cb,
107
  'h2cef75ac,
108
  'h3a7d9ea5,
109
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100110)
110
 
111
`TestCase(
112
  7,
113
  test7,
114
  'h23866d34,
115
  'hf7ec1067,
116
  'hb980578e,
117
  'hb940e343,
118
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100111)
119
 
120
`TestCase(
121
  8,
122
  test8,
123
  'h0bbfa183,
124
  'h3ee1a0b8,
125
  'h8ff6cad6,
126
  'he488f3c8,
127
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101000)
128
 
129
`TestCase(
130
  9,
131
  test9,
132
  'h3b924e92,
133
  'hb0b9035a,
134
  'hd88cce0c,
135
  'h4cf3496d,
136
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101001)
137
 
138
`TestCase(
139
  10,
140
  test10,
141
  'h7c956632,
142
  'hc0ac03d8,
143
  'hd7d3bf4e,
144
  'hb5daa1e1,
145
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101010)
146
 
147
`TestCase(
148
  11,
149
  test11,
150
  'hbe2d8e25,
151
  'ha32d7b2d,
152
  'h3de4a73a,
153
  'h50ad5698,
154
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101011)
155
 
156
`TestCase(
157
  12,
158
  test12,
159
  'ha81aa8bf,
160
  'hc3cc7846,
161
  'h3b41e4db,
162
  'hebecaaa9,
163
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101100)
164
 
165
`TestCase(
166
  13,
167
  test13,
168
  'h55194a32,
169
  'h5e858acb,
170
  'hcab3f463,
171
  'h1771a867,
172
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101101)
173
 
174
`TestCase(
175
  14,
176
  test14,
177
  'h48ac354f,
178
  'h4f95dcfa,
179
  'h1ef2bf62,
180
  'h8daa20e4,
181
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101110)
182
 
183
`TestCase(
184
  15,
185
  test15,
186
  'h0f884365,
187
  'h5688be70,
188
  'h05289ea0,
189
  'hc994b7ff,
190
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000101111)
191
 
192
`TestCase(
193
  16,
194
  test16,
195
  'h1cdbaace,
196
  'hff982a0c,
197
  'h61250a68,
198
  'hca323383,
199
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000)
200
 
201
`TestCase(
202
  17,
203
  test17,
204
  'hd0fda7c3,
205
  'h92560e17,
206
  'h0195ef0f,
207
  'h8b5220f9,
208
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110001)
209
 
210
`TestCase(
211
  18,
212
  test18,
213
  'h262cfbc7,
214
  'h7361a114,
215
  'hf0c42971,
216
  'h1c53c056,
217
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110010)
218
 
219
`TestCase(
220
  19,
221
  test19,
222
  'h1783a8eb,
223
  'h0f14b0c2,
224
  'h40d4532a,
225
  'he388537c,
226
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110011)
227
 
228
`TestCase(
229
  20,
230
  test20,
231
  'h12b15ca7,
232
  'h2e1a4819,
233
  'he2eba493,
234
  'h1bdfedff,
235
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110100)
236
 
237
`TestCase(
238
  21,
239
  test21,
240
  'h17f6b7e3,
241
  'h55562332,
242
  'h6d709ad9,
243
  'hc4e64efe,
244
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110101)
245
 
246
`TestCase(
247
  22,
248
  test22,
249
  'hb4c45615,
250
  'hbf41dcd1,
251
  'h702bd871,
252
  'hcc805d08,
253
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110110)
254
 
255
`TestCase(
256
  23,
257
  test23,
258
  'hf89ef18e,
259
  'h8a493f45,
260
  'h4523595c,
261
  'h32f395d5,
262
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110111)
263
 
264
`TestCase(
265
  24,
266
  test24,
267
  'h2eb3cdc8,
268
  'ha1dded72,
269
  'h3765185b,
270
  'h5cf129b3,
271
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111000)
272
 
273
`TestCase(
274
  25,
275
  test25,
276
  'h6747a144,
277
  'hcdb181a5,
278
  'hb9413dec,
279
  'h167b7251,
280
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111001)
281
 
282
`TestCase(
283
  26,
284
  test26,
285
  'ha8a41784,
286
  'h5b64a57a,
287
  'h7ceb61d9,
288
  'h0742f470,
289
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111010)
290
 
291
`TestCase(
292
  27,
293
  test27,
294
  'h7472c99d,
295
  'h909f25d0,
296
  'h38b79f43,
297
  'he1c9a06b,
298
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111011)
299
 
300
`TestCase(
301
  28,
302
  test28,
303
  'h110b2751,
304
  'h90cbcc98,
305
  'hf3d0cb23,
306
  'hc8aa745e,
307
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111100)
308
 
309
`TestCase(
310
  29,
311
  test29,
312
  'hf5f9c942,
313
  'h7aa2c365,
314
  'h66b499f9,
315
  'h10f4fd74,
316
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111101)
317
 
318
`TestCase(
319
  30,
320
  test30,
321
  'h3948bccd,
322
  'h64edbacb,
323
  'h1aada572,
324
  'h7f754050,
325
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111110)
326
 
327
`TestCase(
328
  31,
329
  test31,
330
  'h0b3622d0,
331
  'h4a65503a,
332
  'hc1579428,
333
  'h4d78ea79,
334
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111111)
335
 
336
`TestCase(
337
  32,
338
  test32,
339
  'h2e8d6b50,
340
  'hfbbec79c,
341
  'hb0e1e7dc,
342
  'hc376ba70,
343
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000)
344
 
345
`TestCase(
346
  33,
347
  test33,
348
  'h091da27e,
349
  'hb841fc5e,
350
  'h1e7a7c1c,
351
  'h198c57b8,
352
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000001)
353
 
354
`TestCase(
355
  34,
356
  test34,
357
  'h11913b9c,
358
  'hdaeeac75,
359
  'hfc852463,
360
  'h6183a604,
361
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000010)
362
 
363
`TestCase(
364
  35,
365
  test35,
366
  'hd0b33e0c,
367
  'h51500183,
368
  'hb4fda331,
369
  'h46dfe99e,
370
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000011)
371
 
372
`TestCase(
373
  36,
374
  test36,
375
  'hf3a200f5,
376
  'hcb94636a,
377
  'h5cb260d9,
378
  'ha584b73f,
379
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000100)
380
 
381
`TestCase(
382
  37,
383
  test37,
384
  'ha55b1b39,
385
  'h3c658a73,
386
  'h512b19ef,
387
  'hca61da2d,
388
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000101)
389
 
390
`TestCase(
391
  38,
392
  test38,
393
  'h2cd2e37f,
394
  'h6f6379a7,
395
  'h8693c188,
396
  'h01ddf293,
397
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000110)
398
 
399
`TestCase(
400
  39,
401
  test39,
402
  'h68e3acde,
403
  'hb69b99ea,
404
  'he901b6a8,
405
  'haf308a1e,
406
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000111)
407
 
408
`TestCase(
409
  40,
410
  test40,
411
  'ha8b0b6c0,
412
  'h2a8cd6fd,
413
  'h8c312525,
414
  'h863f6cd3,
415
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001000)
416
 
417
`TestCase(
418
  41,
419
  test41,
420
  'h11f152dc,
421
  'ha0f1b7c2,
422
  'he718f2ee,
423
  'h6f4ac025,
424
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001001)
425
 
426
`TestCase(
427
  42,
428
  test42,
429
  'ha31221fe,
430
  'ha173d741,
431
  'h23f4934a,
432
  'h75a8b7bb,
433
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001010)
434
 
435
`TestCase(
436
  43,
437
  test43,
438
  'h3a81d0a4,
439
  'hadb55792,
440
  'h1be4d248,
441
  'h788f42c6,
442
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001011)
443
 
444
`TestCase(
445
  44,
446
  test44,
447
  'h5c68e9d1,
448
  'hff50df2b,
449
  'h31f96f18,
450
  'h76f3660b,
451
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001100)
452
 
453
`TestCase(
454
  45,
455
  test45,
456
  'h13d74668,
457
  'h7e011853,
458
  'hc858ad5f,
459
  'h9bd1d97c,
460
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001101)
461
 
462
`TestCase(
463
  46,
464
  test46,
465
  'h14eb798c,
466
  'hb3f7482e,
467
  'h80ea452a,
468
  'h9a9c7cac,
469
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001110)
470
 
471
`TestCase(
472
  47,
473
  test47,
474
  'h0fdc63f0,
475
  'habae17ca,
476
  'hf7d2b8a1,
477
  'h5e1db3ec,
478
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001111)
479
 
480
`TestCase(
481
  48,
482
  test48,
483
  'h74599f43,
484
  'h825a8e87,
485
  'h73f23cf7,
486
  'h9aa7bb24,
487
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010000)
488
 
489
`TestCase(
490
  49,
491
  test49,
492
  'h621f72ef,
493
  'h1db7ab41,
494
  'h98f8f94e,
495
  'hdde80028,
496
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010001)
497
 
498
`TestCase(
499
  50,
500
  test50,
501
  'h6d8ebee0,
502
  'h8e44c77c,
503
  'h738e03a0,
504
  'hc650be39,
505
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010010)
506
 
507
`TestCase(
508
  51,
509
  test51,
510
  'h7553995c,
511
  'h17d9d840,
512
  'h944de02a,
513
  'h5e21f2a4,
514
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010011)
515
 
516
`TestCase(
517
  52,
518
  test52,
519
  'h259cc9b8,
520
  'h0b2d5f0c,
521
  'h6220326d,
522
  'hc2ffa2d9,
523
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010100)
524
 
525
`TestCase(
526
  53,
527
  test53,
528
  'hf8fe3e4b,
529
  'hb0f2e851,
530
  'hba73d543,
531
  'hdb1f8d07,
532
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010101)
533
 
534
`TestCase(
535
  54,
536
  test54,
537
  'ha310e351,
538
  'h1860bea7,
539
  'h39c4665c,
540
  'hbe2596e2,
541
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010110)
542
 
543
`TestCase(
544
  55,
545
  test55,
546
  'h077bc660,
547
  'h608cfd20,
548
  'h46dec78a,
549
  'h66a003ee,
550
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001010111)
551
 
552
`TestCase(
553
  56,
554
  test56,
555
  'h5155ef01,
556
  'h2a4f5ad8,
557
  'h959e4f07,
558
  'h738171b7,
559
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011000)
560
 
561
`TestCase(
562
  57,
563
  test57,
564
  'hac7fab56,
565
  'h977eb5f2,
566
  'h0a6971cd,
567
  'hd070af5a,
568
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011001)
569
 
570
`TestCase(
571
  58,
572
  test58,
573
  'h2ea09f20,
574
  'h11a41ca8,
575
  'ha38ff658,
576
  'hc54f57ba,
577
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011010)
578
 
579
`TestCase(
580
  59,
581
  test59,
582
  'hbed23180,
583
  'h0528caf6,
584
  'h86ebc762,
585
  'hcb2d6327,
586
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011011)
587
 
588
`TestCase(
589
  60,
590
  test60,
591
  'h8152b027,
592
  'h73ea5aea,
593
  'h751d6121,
594
  'he003390f,
595
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011100)
596
 
597
`TestCase(
598
  61,
599
  test61,
600
  'h0ed29a0e,
601
  'hd5550258,
602
  'h3ac5939c,
603
  'hcba22ae6,
604
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011101)
605
 
606
`TestCase(
607
  62,
608
  test62,
609
  'h96e5477d,
610
  'h8a3872cc,
611
  'h37401d77,
612
  'h71a751d1,
613
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011110)
614
 
615
`TestCase(
616
  63,
617
  test63,
618
  'h193627b0,
619
  'h65f32dd0,
620
  'hced76f97,
621
  'h21ce91d6,
622
  'b00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001011111)
623
 
624
`TestCase(
625
  64,
626
  test64,
627
  'ha351023d,
628
  'habf92ff0,
629
  'h54196f69,
630
  'h51fa52f1,
631
  'b00000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110010001101100011100100110111101110111001000000110111101101100011011000110010101001000)
632
 
633
`TestCase(
634
  65,
635
  test65,
636
  'h35e1d885,
637
  'h955e6190,
638
  'hf38c03f8,
639
  'h0ff85076,
640
  'b00000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000010110010001101100011100100110111101110111001000000110111101101100011011000110010101001000)
641
 
642
`TestCase(
643
  66,
644
  test66,
645
  'h3637ed9d,
646
  'h92e87fae,
647
  'h9c62fb6d,
648
  'hc5e74fcc,
649
  'b00000000000000000000000000000000000000000000000000000001010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001100111011011110110010000100000011110010111101001100001011011000010000001100101011010000111010000100000011100100110010101110110011011110010000001110011011100000110110101110101011010100010000001111000011011110110011000100000011011100111011101101111011100100110001000100000011010110110001101101001011101010111000100100000011001010110100001010100)
650
 
651
`TestCase(
652
  67,
653
  test67,
654
  'h5ac4b6e3,
655
  'h2d2e2507,
656
  'h15448ba2,
657
  'hc098ce69,
658
  'b00000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010111001100111011011110110010000100000011110010111101001100001011011000010000001100101011010000111010000100000011100100110010101110110011011110010000001110011011100000110110101110101011010100010000001111000011011110110011000100000011011100111011101101111011100100110001000100000011010110110001101101001011101010111000100100000011001010110100001010100)
659
 
660
`TestCase(
661
  68,
662
  test68,
663
  'hfb44bc89,
664
  'h5b68a719,
665
  'ha7550760,
666
  'hdb9d42ca,
667
  'b00000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110100001100011011101000110100101100101010011000010000001101110011010000110111101001010)
668
 
669
 
670
always @(posedge clk)
671
  begin
672
    count <= count + 1;
673
  end
674
 
675
always @(posedge test68)
676
  test_all <= test0&test1&test2&test3&test4&test5&test6&test7&test8&test9&test10&test11&test12&test13&test14&test15&test16&test17&test18&test19&test20&test21&test22&test23&test24&test25&test26&test27&test28&test29&test30&test31&test32&test33&test34&test35&test36&test37&test38&test39&test40&test41&test42&test43&test44&test45&test46&test47&test48&test49&test50&test51&test52&test53&test54&test55&test56&test57&test58&test59&test60&test61&test62&test63&test64&test65&test66&test67&test68;
677
 
678
endmodule
679
 
680
 
681
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.