OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [transcript] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mikel262
#Reading E:/FPGAdv71PS/Modeltech/tcl/vsim/pref.tcl
2
#//  ModelSim SE 6.1a Jul 19 2005
3
#//
4
#//  Copyright Mentor Graphics Corporation 2005
5
#//              All Rights Reserved.
6
#//
7
#//  THIS WORK CONTAINS TRADE SECRET AND
8
#//  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
9
#//  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
10
#//  AND IS SUBJECT TO LICENSE TERMS.
11
#//
12 6 mikel262
# OpenFile "C:/elektronika/dct/MDCT/mdct.mpf"
13 2 mikel262
#Loading project mdct
14 6 mikel262
#Modifying C:/elektronika/dct/MDCT/mdct.mpf
15 2 mikel262
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
16
#-- Loading package standard
17
#-- Loading package std_logic_1164
18
#-- Loading package numeric_std
19
#-- Compiling package mdct_pkg
20
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
21
#-- Loading package standard
22
#-- Loading package std_logic_1164
23
#-- Loading package numeric_std
24
#-- Loading package mdct_pkg
25
#-- Compiling entity rome
26
#-- Compiling architecture rtl of rome
27
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
28
#-- Loading package standard
29
#-- Loading package std_logic_1164
30
#-- Loading package numeric_std
31
#-- Loading package mdct_pkg
32
#-- Compiling entity romo
33
#-- Compiling architecture rtl of romo
34
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
35
#-- Loading package standard
36
#-- Loading package std_logic_1164
37
#-- Loading package numeric_std
38
#-- Loading package mdct_pkg
39
#-- Compiling entity ram
40
#-- Compiling architecture rtl of ram
41
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
42
#-- Loading package standard
43
#-- Loading package std_logic_1164
44
#-- Loading package numeric_std
45
#-- Loading package mdct_pkg
46
#-- Compiling entity dct1d
47
#-- Compiling architecture rtl of dct1d
48
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
49
#-- Loading package standard
50
#-- Loading package std_logic_1164
51
#-- Loading package numeric_std
52
#-- Loading package mdct_pkg
53
#-- Compiling entity dct2d
54
#-- Compiling architecture rtl of dct2d
55
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
56
#-- Loading package standard
57
#-- Loading package std_logic_1164
58
#-- Loading package numeric_std
59
#-- Loading package mdct_pkg
60
#-- Compiling entity dbufctl
61
#-- Compiling architecture rtl of dbufctl
62
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
63
#-- Loading package standard
64
#-- Loading package std_logic_1164
65
#-- Loading package numeric_std
66
#-- Loading package mdct_pkg
67
#-- Compiling entity mdct
68
#-- Compiling architecture rtl of mdct
69
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
70
#-- Loading package standard
71
#-- Loading package std_logic_1164
72
#-- Loading package numeric_std
73
#-- Loading package mdct_pkg
74
#-- Compiling entity clkgen
75
#-- Compiling architecture sim of clkgen
76
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
77
#-- Loading package standard
78
#-- Loading package std_logic_1164
79
#-- Loading package numeric_std
80
#-- Loading package math_real
81
#-- Loading package textio
82
#-- Loading package mdct_pkg
83
#-- Compiling package mdcttb_pkg
84
#-- Compiling package body mdcttb_pkg
85
#-- Loading package mdcttb_pkg
86
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
87
#-- Loading package standard
88
#-- Loading package std_logic_1164
89
#-- Loading package numeric_std
90
#-- Loading package textio
91
#-- Loading package std_logic_textio
92
#-- Loading package mdct_pkg
93
#-- Loading package math_real
94
#-- Loading package mdcttb_pkg
95
#-- Compiling entity inpimage
96
#-- Compiling architecture sim of inpimage
97
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
98
#-- Loading package standard
99
#-- Loading package std_logic_1164
100
#-- Loading package numeric_std
101
#-- Loading package mdct_pkg
102
#-- Loading package vital_timing
103
#-- Loading package vcomponents
104
#-- Loading package vital_primitives
105
#-- Loading package textio
106
#-- Loading package vpackage
107
#-- Compiling entity tb_mdct
108
#-- Compiling architecture tb of tb_mdct
109
#-- Compiling configuration conf_mdct
110
#-- Loading entity tb_mdct
111
#-- Loading architecture tb of tb_mdct
112
#-- Loading entity mdct
113
#-- Loading entity clkgen
114
#-- Loading package std_logic_textio
115
#-- Loading package math_real
116
#-- Loading package mdcttb_pkg
117
#-- Loading entity inpimage
118
#-- Compiling configuration conf_mdct_timing
119
#-- Loading entity tb_mdct
120
#-- Loading architecture tb of tb_mdct
121
#-- Loading entity mdct
122
#-- Loading entity clkgen
123
#-- Loading entity inpimage
124
#** Warning: (vlib-34) Library already exists at "work".
125 6 mikel262
#Modifying C:/elektronika/dct/MDCT/mdct.mpf
126 2 mikel262
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
127
#-- Loading package standard
128
#-- Loading package std_logic_1164
129
#-- Loading package numeric_std
130
#-- Compiling package mdct_pkg
131
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
132
#-- Loading package standard
133
#-- Loading package std_logic_1164
134
#-- Loading package numeric_std
135
#-- Loading package mdct_pkg
136
#-- Compiling entity rome
137
#-- Compiling architecture rtl of rome
138
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
139
#-- Loading package standard
140
#-- Loading package std_logic_1164
141
#-- Loading package numeric_std
142
#-- Loading package mdct_pkg
143
#-- Compiling entity romo
144
#-- Compiling architecture rtl of romo
145
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
146
#-- Loading package standard
147
#-- Loading package std_logic_1164
148
#-- Loading package numeric_std
149
#-- Loading package mdct_pkg
150
#-- Compiling entity ram
151
#-- Compiling architecture rtl of ram
152
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
153
#-- Loading package standard
154
#-- Loading package std_logic_1164
155
#-- Loading package numeric_std
156
#-- Loading package mdct_pkg
157
#-- Compiling entity dct1d
158
#-- Compiling architecture rtl of dct1d
159
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
160
#-- Loading package standard
161
#-- Loading package std_logic_1164
162
#-- Loading package numeric_std
163
#-- Loading package mdct_pkg
164
#-- Compiling entity dct2d
165
#-- Compiling architecture rtl of dct2d
166
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
167
#-- Loading package standard
168
#-- Loading package std_logic_1164
169
#-- Loading package numeric_std
170
#-- Loading package mdct_pkg
171
#-- Compiling entity dbufctl
172
#-- Compiling architecture rtl of dbufctl
173
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
174
#-- Loading package standard
175
#-- Loading package std_logic_1164
176
#-- Loading package numeric_std
177
#-- Loading package mdct_pkg
178
#-- Compiling entity mdct
179
#-- Compiling architecture rtl of mdct
180
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
181
#-- Loading package standard
182
#-- Loading package std_logic_1164
183
#-- Loading package numeric_std
184
#-- Loading package mdct_pkg
185
#-- Compiling entity clkgen
186
#-- Compiling architecture sim of clkgen
187
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
188
#-- Loading package standard
189
#-- Loading package std_logic_1164
190
#-- Loading package numeric_std
191
#-- Loading package math_real
192
#-- Loading package textio
193
#-- Loading package mdct_pkg
194
#-- Compiling package mdcttb_pkg
195
#-- Compiling package body mdcttb_pkg
196
#-- Loading package mdcttb_pkg
197
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
198
#-- Loading package standard
199
#-- Loading package std_logic_1164
200
#-- Loading package numeric_std
201
#-- Loading package textio
202
#-- Loading package std_logic_textio
203
#-- Loading package mdct_pkg
204
#-- Loading package math_real
205
#-- Loading package mdcttb_pkg
206
#-- Compiling entity inpimage
207
#-- Compiling architecture sim of inpimage
208
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
209
#-- Loading package standard
210
#-- Loading package std_logic_1164
211
#-- Loading package numeric_std
212
#-- Loading package mdct_pkg
213
#-- Loading package vital_timing
214
#-- Loading package vcomponents
215
#-- Loading package vital_primitives
216
#-- Loading package textio
217
#-- Loading package vpackage
218
#-- Compiling entity tb_mdct
219
#-- Compiling architecture tb of tb_mdct
220
#-- Compiling configuration conf_mdct
221
#-- Loading entity tb_mdct
222
#-- Loading architecture tb of tb_mdct
223
#-- Loading entity mdct
224
#-- Loading entity clkgen
225
#-- Loading package std_logic_textio
226
#-- Loading package math_real
227
#-- Loading package mdcttb_pkg
228
#-- Loading entity inpimage
229
#-- Compiling configuration conf_mdct_timing
230
#-- Loading entity tb_mdct
231
#-- Loading architecture tb of tb_mdct
232
#-- Loading entity mdct
233
#-- Loading entity clkgen
234
#-- Loading entity inpimage
235
#vsim -lib WORK -t ps CONF_MDCT
236
# Loading E:\FPGAdv71PS\Modeltech\win32/../std.standard
237
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.std_logic_1164(body)
238
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.numeric_std(body)
239
# Loading work.mdct_pkg
240
# Loading E:\FPGAdv71PS\Modeltech\win32/../std.textio(body)
241
# Loading E:\FPGAdv71PS\Modeltech\win32/../vital2000.vital_timing(body)
242
# Loading f:\Xilinx\vhdl\mti_se\simprim.vcomponents
243
# Loading E:\FPGAdv71PS\Modeltech\win32/../vital2000.vital_primitives(body)
244
# Loading f:\Xilinx\vhdl\mti_se\simprim.vpackage(body)
245
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.std_logic_textio(body)
246
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.math_real(body)
247
# Loading work.mdcttb_pkg(body)
248
# Loading work.conf_mdct
249
# Loading work.tb_mdct(tb)
250
# Loading work.mdct(rtl)
251
# Loading work.dct1d(rtl)
252
# Loading work.dct2d(rtl)
253
# Loading work.ram(rtl)
254
# Loading work.dbufctl(rtl)
255
# Loading work.rome(rtl)
256
# Loading work.romo(rtl)
257
# Loading work.clkgen(sim)
258
# Loading work.inpimage(sim)
259
#** Error: (vish-4014) No objects found matching '/tb_mdct/u_mdct/u1_rome0/rom'.
260
#Executing ONERROR command at macro ./SOURCE/TESTBENCH/WAVE.DO line 102
261
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
262
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo10
263
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
264
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo9
265
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
266
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo8
267
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
268
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo7
269
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
270
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo6
271
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
272
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo5
273
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
274
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo4
275
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
276
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo3
277
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
278
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo2
279
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
280
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo1
281
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
282
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo0
283
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
284
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome10
285
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
286
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome9
287
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
288
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome8
289
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
290
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome7
291
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
292
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome6
293
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
294
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome5
295
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
296
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome4
297
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
298
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome3
299
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
300
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome2
301
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
302
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome1
303
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
304
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome0
305
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
306
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo8
307
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
308
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo7
309
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
310
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo6
311
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
312
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo5
313
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
314
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo4
315
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
316
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo3
317
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
318
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo2
319
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
320
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo1
321
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
322
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo0
323
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
324
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome8
325
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
326
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome7
327
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
328
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome6
329
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
330
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome5
331
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
332
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome4
333
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
334
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome3
335
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
336
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome2
337
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
338
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome1
339
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
340
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome0
341
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
342
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_ram
343
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
344
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_ram
345
#** Note: No errors found in first stage of DCT
346
#   Time: 33632450 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
347
#** Note: 1D Test finished
348
#   Time: 33632450 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
349
#** Note: PSNR computed for image SOURCE\TESTBENCH\lena512.txt is 4.855483e+001 dB
350
#   Time: 33641650 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
351
#** Note: No errors found in second stage of DCT
352
#   Time: 33641750 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
353
#** Note: 2D Test finished
354
#   Time: 33641750 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.