OpenCores
URL https://opencores.org/ocsvn/mips_16/mips_16/trunk

Subversion Repositories mips_16

[/] [mips_16/] [trunk/] [bench/] [EX_stage/] [alu_tb_0.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Doyya
quit -sim
2
vlib work
3
vlog  +incdir+../rtl ../rtl/alu.v
4
vlog  +incdir+../rtl ../bench/EX_stage/alu_tb_0.v
5
 
6
vsim -t 1ps -novopt -lib work alu_tb_0_v
7
view wave
8
add wave -radix unsigned /alu_tb_0_v/cmd
9
add wave -radix decimal /alu_tb_0_v/a
10
add wave -radix decimal /alu_tb_0_v/b
11
add wave -radix decimal /alu_tb_0_v/r
12
view structure
13
view signals
14
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.