OpenCores
URL https://opencores.org/ocsvn/mips_16/mips_16/trunk

Subversion Repositories mips_16

[/] [mips_16/] [trunk/] [bench/] [WB_stage/] [WB_stage_tb_0.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Doyya
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   15:21:32 02/09/2012
8
// Design Name:   WB_stage
9
// Module Name:   F:/Projects/My_MIPS/mips_16/bench/WB_stage/WB_stage_tb_0.v
10
// Project Name:  mips_16
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: WB_stage
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module WB_stage_tb_0_v;
26
 
27
        // Inputs
28
        reg [36:0] pipeline_reg_in;
29
 
30
        // Outputs
31
        wire reg_write_en;
32
        wire [2:0] reg_write_dest;
33
        wire [15:0] reg_write_data;
34
        wire [2:0] wb_op_dest;
35
 
36
        // Instantiate the Unit Under Test (UUT)
37
        WB_stage uut (
38
                .pipeline_reg_in(pipeline_reg_in),
39
                .reg_write_en(reg_write_en),
40
                .reg_write_dest(reg_write_dest),
41
                .reg_write_data(reg_write_data),
42
                .wb_op_dest(wb_op_dest)
43
        );
44
 
45
        initial begin
46
                // Initialize Inputs
47
                pipeline_reg_in = 0;
48
 
49
                // Wait 100 ns for global reset to finish
50
                #100;
51
 
52
                // Add stimulus here
53
                pipeline_reg_in = {16'hf421, 16'h69fe, 5'b10101};
54
                #10
55
                if(
56
                         reg_write_en   ==      1               &&
57
                         reg_write_dest ==      3'b010  &&
58
                         wb_op_dest             ==      3'b010  &&
59
                         reg_write_data ==      16'h69fe
60
                )
61
                        $display("ok1");
62
                else
63
                        $display("error1");
64
 
65
                pipeline_reg_in = {16'hf421, 16'h69fe, 5'b11100};
66
                #10
67
                if(
68
                         reg_write_en   ==      1               &&
69
                         reg_write_dest ==      3'b110  &&
70
                         wb_op_dest             ==      3'b110  &&
71
                         reg_write_data ==      16'hf421
72
                )
73
                        $display("ok1");
74
                else
75
                        $display("error1");
76
                #100
77
                $stop;
78
        end
79
 
80
endmodule
81
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.