OpenCores
URL https://opencores.org/ocsvn/mips_16/mips_16/trunk

Subversion Repositories mips_16

[/] [mips_16/] [trunk/] [bench/] [hazard_detection_unit/] [hazard_detection_unit_tb_0.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Doyya
`timescale 1ns / 1ps
2
 
3
////////////////////////////////////////////////////////////////////////////////
4
// Company: 
5
// Engineer:
6
//
7
// Create Date:   15:54:44 02/09/2012
8
// Design Name:   hazard_detection_unit
9
// Module Name:   F:/Projects/My_MIPS/mips_16/bench/hazard_detection_unit/hazard_detection_unit_tb_0.v
10
// Project Name:  mips_16
11
// Target Device:  
12
// Tool versions:  
13
// Description: 
14
//
15
// Verilog Test Fixture created by ISE for module: hazard_detection_unit
16
//
17
// Dependencies:
18
// 
19
// Revision:
20
// Revision 0.01 - File Created
21
// Additional Comments:
22
// 
23
////////////////////////////////////////////////////////////////////////////////
24
 
25
module hazard_detection_unit_tb_0_v;
26
 
27
        // Inputs
28
        reg [2:0] decoding_op_src1;
29
        reg [2:0] decoding_op_src2;
30
        reg [2:0] ex_op_dest;
31
        reg [2:0] mem_op_dest;
32
        reg [2:0] wb_op_dest;
33
 
34
        // Outputs
35
        wire pipeline_stall_n;
36
 
37
        // Instantiate the Unit Under Test (UUT)
38
        hazard_detection_unit uut (
39
                .decoding_op_src1(decoding_op_src1),
40
                .decoding_op_src2(decoding_op_src2),
41
                .ex_op_dest(ex_op_dest),
42
                .mem_op_dest(mem_op_dest),
43
                .wb_op_dest(wb_op_dest),
44
                .pipeline_stall_n(pipeline_stall_n)
45
        );
46
 
47
        initial begin
48
                // Initialize Inputs
49
                decoding_op_src1 = 0;
50
                decoding_op_src2 = 0;
51
                ex_op_dest = 0;
52
                mem_op_dest = 0;
53
                wb_op_dest = 0;
54
 
55
                // Wait 100 ns for global reset to finish
56
                #100;
57
 
58
                // Add stimulus here
59
                #10
60
                decoding_op_src1 = 0;
61
                decoding_op_src2 = 0;
62
                ex_op_dest = 1;
63
                mem_op_dest = 2;
64
                wb_op_dest = 3;
65
                #10
66
                if(pipeline_stall_n == 1)
67
                        $display("ok1");
68
                else
69
                        $display("error1");
70
 
71
                #10
72
                decoding_op_src1 = 5;
73
                decoding_op_src2 = 0;
74
                ex_op_dest = 5;
75
                mem_op_dest = 5;
76
                wb_op_dest = 3;
77
                #10
78
                if(pipeline_stall_n == 0)
79
                        $display("ok2");
80
                else
81
                        $display("error2");
82
 
83
 
84
                #10
85
                decoding_op_src1 = 5;
86
                decoding_op_src2 = 5;
87
                ex_op_dest = 5;
88
                mem_op_dest = 5;
89
                wb_op_dest = 0;
90
                #10
91
                if(pipeline_stall_n == 0)
92
                        $display("ok3");
93
                else
94
                        $display("error3");
95
 
96
                #10
97
                decoding_op_src1 = 5;
98
                decoding_op_src2 = 5;
99
                ex_op_dest = 7;
100
                mem_op_dest = 5;
101
                wb_op_dest = 0;
102
                #10
103
                if(pipeline_stall_n == 0)
104
                        $display("ok4");
105
                else
106
                        $display("error4");
107
 
108
                #10
109
                decoding_op_src1 = 5;
110
                decoding_op_src2 = 5;
111
                ex_op_dest = 0;
112
                mem_op_dest = 0;
113
                wb_op_dest = 0;
114
                #10
115
                if(pipeline_stall_n == 1)
116
                        $display("ok5");
117
                else
118
                        $display("error5");
119
 
120
 
121
                #100
122
                $stop;
123
        end
124
 
125
endmodule
126
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.