OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-altera-ep1c20/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
 
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library grlib;
23
use grlib.amba.all;
24
use grlib.stdlib.all;
25
library techmap;
26
use techmap.gencomp.all;
27
library gaisler;
28
use gaisler.memctrl.all;
29
use gaisler.leon3.all;
30
use gaisler.uart.all;
31
use gaisler.misc.all;
32
use gaisler.ata.all;
33
use gaisler.jtag.all;
34
library esa;
35
use esa.memoryctrl.all;
36
use work.config.all;
37
 
38
entity leon3mp is
39
  generic (
40
    fabtech : integer := CFG_FABTECH;
41
    memtech : integer := CFG_MEMTECH;
42
    padtech : integer := CFG_PADTECH;
43
    clktech : integer := CFG_CLKTECH;
44
    ncpu    : integer := CFG_NCPU;
45
    disas   : integer := CFG_DISAS;     -- Enable disassembly to console
46
    dbguart : integer := CFG_DUART;     -- Print UART on console
47
    pclow   : integer := CFG_PCLOW;
48
    freq    : integer := 25         -- frequency of main clock (used for PLLs)
49
    );
50
  port (
51
 
52
    resetn  : in  std_ulogic;
53
    clk     : in  std_ulogic;
54
    clkout  : out std_ulogic;
55
    pllref  : in  std_ulogic;
56
    errorn   : out   std_ulogic;
57
 
58
    -- Shared bus
59
    address : out   std_logic_vector(27 downto 0);
60
    data    : inout std_logic_vector(31 downto 0);
61
 
62
    -- SRAM
63
    ramsn   : out   std_ulogic;
64
    ramoen  : out   std_ulogic;
65
    rwen    : out   std_ulogic;
66
    mben    : out   std_logic_vector(3 downto 0);
67
    iosn    : out   std_ulogic;
68
 
69
    -- FLASH
70
    romsn   : out   std_ulogic;
71
    oen     : out   std_ulogic;
72
    writen  : out   std_ulogic;
73
 
74
    sa     : out std_logic_vector(11 downto 0);
75
    sd     : inout std_logic_vector(31 downto 0);
76
    sdclk  : out std_ulogic;
77
    sdcke  : out std_logic;                      -- sdram clock enable
78
    sdcsn  : out std_logic;                      -- sdram chip select
79
    sdwen  : out std_ulogic;                     -- sdram write enable
80
    sdrasn : out std_ulogic;                     -- sdram ras
81
    sdcasn : out std_ulogic;                     -- sdram cas
82
    sddqm  : out std_logic_vector (3 downto 0);  -- sdram dqm
83
    sdba   : out std_logic_vector(1 downto 0);   -- sdram bank address
84
 
85
    -- debug support unit
86
    dsutx               : out std_ulogic;           -- DSU tx data
87
    dsurx               : in  std_ulogic;           -- DSU rx data
88
    dsubren             : in  std_ulogic;
89
    dsuact              : out std_ulogic;
90
 
91
    -- console UART
92
    rxd1 : in  std_ulogic;
93
    txd1 : out std_ulogic;
94
 
95
    -- ATA signals
96
    ata_rst   : out std_logic;
97
    ata_data  : inout std_logic_vector(15 downto 0);
98
    ata_da    : out std_logic_vector(2 downto 0);
99
    ata_cs0   : out std_logic;
100
    ata_cs1   : out std_logic;
101
    ata_dior  : out std_logic;
102
    ata_diow  : out std_logic;
103
    ata_iordy : in std_logic;
104
    ata_intrq : in std_logic;
105
    ata_dmack : out std_logic;
106
 
107
    -- Signals nedded to use CompactFlash with ATA controller
108
    cf_power   : out std_logic; -- To turn on power to the CompactFlash 
109
    cf_gnd_da  : out std_logic_vector(10 downto 3); -- grounded address lines
110
    cf_atasel  : out std_logic; -- grounded to select true IDE mode
111
    cf_we      : out std_logic; -- should be connected to VCC in true IDE mode
112
    cf_csel    : out std_logic;
113
 
114
    -- for smsc lan chip
115
    eth_aen   : out std_logic;
116
    eth_readn : out std_logic;
117
    eth_writen: out std_logic;
118
    eth_nbe   : out std_logic_vector(3 downto 0);
119
 
120
    eth_lclk     : out std_ulogic;
121
    eth_nads     : out std_logic;
122
    eth_ncycle   : out std_logic;
123
    eth_wnr      : out std_logic;
124
    eth_nvlbus   : out std_logic;
125
    eth_nrdyrtn  : out std_logic;
126
    eth_ndatacs  : out std_logic;
127
 
128
    gpio         : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0)   -- I/O port
129
    );
130
end;
131
 
132
architecture rtl of leon3mp is
133
 
134
  constant blength   : integer := 12;
135
  constant fifodepth : integer := 8;
136
 
137
  constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_ATA*CFG_ATADMA;
138
 
139
  signal vcc, gnd   : std_logic_vector(7 downto 0);
140
  signal memi       : memory_in_type;
141
  signal memo       : memory_out_type;
142
  signal wpo        : wprot_out_type;
143
  signal sdi        : sdctrl_in_type;
144
  signal sdo        : sdram_out_type;
145
  signal sdo2, sdo3 : sdctrl_out_type;
146
 
147
  --for smc lan chip
148
  signal s_eth_aen   : std_logic;
149
  signal s_eth_readn : std_logic;
150
  signal s_eth_writen: std_logic;
151
  signal s_eth_nbe   : std_logic_vector(3 downto 0);
152
 
153
  signal apbi  : apb_slv_in_type;
154
  signal apbo  : apb_slv_out_vector := (others => apb_none);
155
  signal ahbsi : ahb_slv_in_type;
156
  signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
157
  signal ahbmi : ahb_mst_in_type;
158
  signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
159
 
160
  signal clkm, rstn, sdclkl : std_ulogic;
161
  signal cgi                : clkgen_in_type;
162
  signal cgo                : clkgen_out_type;
163
  signal u1i, dui           : uart_in_type;
164
  signal u1o, duo           : uart_out_type;
165
 
166
  signal irqi : irq_in_vector(0 to NCPU-1);
167
  signal irqo : irq_out_vector(0 to NCPU-1);
168
 
169
  signal dbgi : l3_debug_in_vector(0 to NCPU-1);
170
  signal dbgo : l3_debug_out_vector(0 to NCPU-1);
171
 
172
  signal dsui : dsu_in_type;
173
  signal dsuo : dsu_out_type;
174
 
175
  signal idei : ata_in_type;
176
  signal ideo : ata_out_type;
177
  signal cf  : cf_out_type;
178
 
179
  signal gpti : gptimer_in_type;
180
  signal gpioi : gpio_in_type;
181
  signal gpioo : gpio_out_type;
182
 
183
  constant IOAEN : integer := 1;
184
  constant CFG_SDEN : integer := CFG_MCTRL_SDEN ;
185
  constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK;
186
 
187
  signal lclk, lclkout  : std_ulogic;
188
 
189
  signal tck, tms, tdi, tdo : std_ulogic;
190
 
191
  signal dsubre : std_ulogic;
192
 
193
  component clkgen_ep1c20board is
194
    generic (
195
      tech     : integer := DEFFABTECH;
196
      clk_mul  : integer := 1;
197
      clk_div  : integer := 1;
198
      sdramen  : integer := 0;
199
      sdinvclk : integer := 0;
200
      freq     : integer := 50000);
201
    port (
202
      clkin   : in  std_logic;
203
      clkout  : out  std_logic;
204
      clk     : out std_logic;
205
      clkn    : out std_logic;
206
      sdclk   : out std_logic;
207
      cgi     : in clkgen_in_type;
208
      cgo     : out clkgen_out_type);
209
  end component;
210
 
211
  component smc_mctrl
212
  generic (
213
    hindex    : integer := 0;
214
    pindex    : integer := 0;
215
    romaddr   : integer := 16#000#;
216
    rommask   : integer := 16#E00#;
217
    ioaddr    : integer := 16#200#;
218
    iomask    : integer := 16#E00#;
219
    ramaddr   : integer := 16#400#;
220
    rammask   : integer := 16#C00#;
221
    paddr     : integer := 0;
222
    pmask     : integer := 16#fff#;
223
    wprot     : integer := 0;
224
    invclk    : integer := 0;
225
    fast      : integer := 0;
226
    romasel   : integer := 28;
227
    sdrasel   : integer := 29;
228
    srbanks   : integer := 4;
229
    ram8      : integer := 0;
230
    ram16     : integer := 0;
231
    sden      : integer := 0;
232
    sepbus    : integer := 0;
233
    sdbits    : integer := 32;
234
    sdlsb     : integer := 2;
235
    oepol     : integer := 0;
236
    syncrst   : integer := 0
237
  );
238
  port (
239
    rst       : in  std_ulogic;
240
    clk       : in  std_ulogic;
241
    memi      : in  memory_in_type;
242
    memo      : out memory_out_type;
243
    ahbsi     : in  ahb_slv_in_type;
244
    ahbso     : out ahb_slv_out_type;
245
    apbi      : in  apb_slv_in_type;
246
    apbo      : out apb_slv_out_type;
247
    wpo       : in  wprot_out_type;
248
    sdo       : out sdram_out_type;
249
    eth_aen   : out std_ulogic; -- for smsc lan chip
250
    eth_readn : out std_ulogic; -- for smsc lan chip
251
    eth_writen: out std_ulogic;  -- for smsc lan chip
252
    eth_nbe   : out std_logic_vector(3 downto 0) -- for smsc lan chip
253
  );
254
  end component;
255
 
256
begin
257
 
258
----------------------------------------------------------------------
259
---  Reset and Clock generation  -------------------------------------
260
----------------------------------------------------------------------
261
 
262
  vcc         <= (others => '1'); gnd <= (others => '0');
263
  cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; --cgi.pllref <= lclk; --pllref; -- clk; --'0'; 
264
 
265
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
266
  clkout_pad : outpad generic map (tech => padtech, slew => 1) port map (clkout, lclkout);
267
  pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref);
268
 
269
  clkgen0 : clkgen_ep1c20board
270
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN, CFG_CLK_NOFB)
271
    port map (lclk, lclkout, clkm, open, sdclkl, cgi, cgo);
272
 
273
  sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (sdclk, sdclkl);
274
 
275
  rst0 : rstgen                         -- reset generator
276
    port map (resetn, clkm, cgo.clklock, rstn);
277
 
278
---------------------------------------------------------------------- 
279
---  AHB CONTROLLER --------------------------------------------------
280
----------------------------------------------------------------------
281
 
282
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
283
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
284
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
285
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
286
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
287
 
288
----------------------------------------------------------------------
289
---  LEON3 processor and DSU -----------------------------------------
290
----------------------------------------------------------------------
291
 
292
  l3 : if CFG_LEON3 = 1 generate
293
    cpu : for i in 0 to NCPU-1 generate
294
      u0 : leon3s                         -- LEON3 processor
295
        generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
296
                   0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
297
                   CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
298
                   CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
299
                   CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
300
                   CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
301
        port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
302
                irqi(i), irqo(i), dbgi(i), dbgo(i));
303
    end generate;
304
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
305
 
306
    dsugen : if CFG_DSU = 1 generate
307
      dsu0 : dsu3                         -- LEON3 Debug Support Unit
308
        generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
309
                   ncpu   => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
310
        port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
311
 
312
      dsui.enable <= '1';
313
 
314
      dsubre_pad : inpad generic map (tech  => padtech) port map (dsubre, dsui.break);
315
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
316
    end generate;
317
  end generate;
318
  nodcom : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; end generate;
319
 
320
  dcomgen : if CFG_AHB_UART = 1 generate
321
    dcom0 : ahbuart                     -- Debug UART
322
      generic map (hindex => NCPU, pindex => 4, paddr => 7)
323
      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU));
324
    dsurx_pad : inpad generic map (tech  => padtech) port map (dsurx, dui.rxd);
325
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
326
  end generate;
327
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
328
 
329
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
330
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
331
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
332
               open, open, open, open, open, open, open, gnd(0));
333
  end generate;
334
 
335
 
336
----------------------------------------------------------------------
337
---  Memory controllers ----------------------------------------------
338
----------------------------------------------------------------------
339
 
340
  src : if CFG_SRCTRL = 1 generate      -- 32-bit PROM/SRAM controller
341
    sr0 : srctrl generic map (hindex => 0, ramws => CFG_SRCTRL_RAMWS,
342
        romws => CFG_SRCTRL_PROMWS, ramaddr => 16#400#,
343
        prom8en => CFG_SRCTRL_8BIT, rmw => CFG_SRCTRL_RMW)
344
    port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo3);
345
    apbo(0) <= apb_none;
346
  end generate;
347
 
348
  mg2 : if CFG_MCTRL_LEON2 = 1 generate         -- LEON2 memory controller
349
    sr1 : smc_mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
350
        srbanks => 2, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT,
351
        ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK,
352
        sepbus => CFG_MCTRL_SEPBUS, sdbits => 32 + 32*CFG_MCTRL_SD64)
353
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo,
354
             s_eth_aen, s_eth_readn, s_eth_writen, s_eth_nbe);
355
    sdpads : if CFG_MCTRL_SDEN = 1 generate     -- SDRAM controller
356
      sd2 : if CFG_MCTRL_SEPBUS = 1 generate
357
        sa_pad : outpadv generic map (width => 12) port map (sa, memo.sa(11 downto 0));
358
        sdba_pad : outpadv generic map (width => 2) port map (sdba, memo.sa(14 downto 13));
359
        bdr : for i in 0 to 3 generate
360
          sd_pad : iopadv generic map (tech => padtech, width => 8)
361
          port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
362
                memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
363
          sd2 : if CFG_MCTRL_SD64 = 1 generate
364
            sd_pad2 : iopadv generic map (tech => padtech, width => 8)
365
            port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8),
366
                memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32));
367
          end generate;
368
        end generate;
369
      end generate;
370
      sdwen_pad : outpad generic map (tech => padtech)
371
           port map (sdwen, sdo.sdwen);
372
      sdras_pad : outpad generic map (tech => padtech)
373
           port map (sdrasn, sdo.rasn);
374
      sdcas_pad : outpad generic map (tech => padtech)
375
           port map (sdcasn, sdo.casn);
376
      sddqm_pad : outpadv generic map (width =>4, tech => padtech)
377
           port map (sddqm, sdo.dqm(3 downto 0));
378
    end generate;
379
    sdcke_pad : outpad generic map (tech => padtech) port map (sdcke, sdo.sdcke(0));
380
    sdcsn_pad : outpad generic map (tech => padtech) port map (sdcsn, sdo.sdcsn(0));
381
  end generate;
382
 
383
  nosd0 : if (CFG_MCTRL_LEON2 = 0) generate      -- no SDRAM controller
384
     sdcke_pad : outpad generic map (tech => padtech) port map (sdcke, sdo3.sdcke(0));
385
     sdcsn_pad : outpad generic map (tech => padtech) port map (sdcsn, sdo3.sdcsn(0));
386
  end generate;
387
 
388
  memi.brdyn  <= '1'; memi.bexcn <= '1';
389
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00";
390
 
391
  mg0 : if not ((CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1)) generate     -- no prom/sram pads
392
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
393
    rams_pad : outpad generic map (tech => padtech)
394
      port map (ramsn, vcc(0));
395
    roms_pad : outpad generic map (tech => padtech)
396
      port map (romsn, vcc(0));
397
  end generate;
398
 
399
  mgpads : if (CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1) generate        -- prom/sram pads
400
    addr_pad : outpadv generic map (width => 28, tech => padtech)
401
      port map (address, memo.address(27 downto 0));
402
    rams_pad : outpad generic map (tech => padtech)
403
      port map (ramsn, memo.ramsn(0));
404
    roms_pad : outpad generic map (tech => padtech)
405
      port map (romsn, memo.romsn(0));
406
    oen_pad : outpad generic map (tech => padtech)
407
      port map (oen, memo.oen);
408
    rwen_pad : outpad generic map (tech => padtech)
409
      port map (rwen, memo.wrn(0));
410
    roen_pad : outpad generic map (tech => padtech)
411
      port map (ramoen, memo.ramoen(0));
412
    wri_pad : outpad generic map (tech => padtech)
413
      port map (writen, memo.writen);
414
   iosn_pad : outpad generic map (tech => padtech)
415
      port map (iosn, memo.iosn);
416
 
417
   -- for smc lan chip
418
   eth_aen_pad : outpad generic map (tech => padtech)
419
      port map (eth_aen, s_eth_aen);
420
   eth_readn_pad : outpad generic map (tech => padtech)
421
      port map (eth_readn, s_eth_readn);
422
   eth_writen_pad : outpad generic map (tech => padtech)
423
      port map (eth_writen, s_eth_writen);
424
   eth_nbe_pad : outpadv generic map (width => 4, tech => padtech)
425
      port map (eth_nbe, s_eth_nbe);
426
 
427
    bdr : for i in 0 to 3 generate
428
      data_pad : iopadv generic map (tech => padtech, width => 8)
429
        port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
430
                  memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
431
    end generate;
432
  end generate;
433
 
434
----------------------------------------------------------------------
435
---  APB Bridge and various periherals -------------------------------
436
----------------------------------------------------------------------
437
 
438
  apb0 : apbctrl                        -- AHB/APB bridge
439
    generic map (hindex => 1, haddr => CFG_APBADDR)
440
    port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
441
 
442
  ua1 : if CFG_UART1_ENABLE /= 0 generate
443
    uart1 : apbuart                     -- UART 1
444
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart,
445
                   fifosize => CFG_UART1_FIFO)
446
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
447
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
448
  end generate;
449
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
450
 
451
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
452
    irqctrl0 : irqmp                    -- interrupt controller
453
      generic map (pindex => 2, paddr => 2, ncpu => NCPU)
454
      port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
455
  end generate;
456
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
457
    x : for i in 0 to NCPU-1 generate
458
      irqi(i).irl <= "0000";
459
    end generate;
460
    apbo(2) <= apb_none;
461
  end generate;
462
 
463
  gpt : if CFG_GPT_ENABLE /= 0 generate
464
    timer0 : gptimer                    -- timer unit
465
      generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
466
                   sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
467
                   nbits  => CFG_GPT_TW)
468
      port map (rstn, clkm, apbi, apbo(3), gpti, open);
469
    gpti.dhalt <= dsuo.active; gpti.extclk <= '0';
470
  end generate;
471
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
472
 
473
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GPIO unit
474
    grgpio0: grgpio
475
    generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
476
    port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
477
    gpioi => gpioi, gpioo => gpioo);
478
    pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
479
        pio_pad : iopad generic map (tech => padtech)
480
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
481
    end generate;
482
  end generate;
483
 
484
 
485
-----------------------------------------------------------------------
486
---  ATA Controller ---------------------------------------------------
487
-----------------------------------------------------------------------
488
 
489
  ata0 : if CFG_ATA = 1 generate
490
 
491
    atac0 : atactrl
492
    generic map(tech => 0, fdepth => CFG_ATAFIFO, mhindex => CFG_NCPU+CFG_AHB_UART+ CFG_AHB_JTAG,
493
        shindex => 5, haddr => 16#A00#, hmask => 16#fff#, pirq  => CFG_ATAIRQ,
494
        mwdma => CFG_ATADMA, TWIDTH   => 8,
495
      -- PIO mode 0 settings (@100MHz clock)
496
      PIO_mode0_T1   => 6,   -- 70ns
497
      PIO_mode0_T2   => 28,  -- 290ns
498
      PIO_mode0_T4   => 2,   -- 30ns
499
      PIO_mode0_Teoc => 23   -- 240ns ==> T0 - T1 - T2 = 600 - 70 - 290 = 240
500
    )
501
    port map( rst => rstn, arst => vcc(0), clk => clkm, ahbmi => ahbmi,
502
        ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), cfo => cf,
503
        ahbsi => ahbsi, ahbso => ahbso(5), atai => idei, atao => ideo);
504
 
505
    ata_rst_pad : outpad generic map (tech => padtech)
506
      port map (ata_rst, ideo.rstn);
507
    ata_data_pad : iopadv generic map (tech => padtech, width => 16, oepol => 1)
508
        port map (ata_data, ideo.ddo, ideo.oen, idei.ddi);
509
    ata_da_pad : outpadv generic map (tech => padtech, width => 3)
510
        port map (ata_da, ideo.da);
511
    ata_cs0_pad : outpad generic map (tech => padtech)
512
        port map (ata_cs0, ideo.cs0);
513
    ata_cs1_pad : outpad generic map (tech => padtech)
514
        port map (ata_cs1, ideo.cs1);
515
    ata_dior_pad : outpad generic map (tech => padtech)
516
        port map (ata_dior, ideo.dior);
517
    ata_diow_pad : outpad generic map (tech => padtech)
518
        port map (ata_diow, ideo.diow);
519
    iordy_pad : inpad generic map (tech => padtech)
520
        port map (ata_iordy, idei.iordy);
521
    intrq_pad : inpad generic map (tech => padtech)
522
        port map (ata_intrq, idei.intrq);
523
--    dmarq_pad : inpad generic map (tech => padtech)
524
--        port map (ata_dmarq, idei.dmarq);
525
    dmack_pad : outpad generic map (tech => padtech)
526
        port map (ata_dmack, ideo.dmack);
527
 
528
       -- for CompactFlach mode selection
529
       cf_gnd_da_pad : outpadv generic map (tech => padtech, width => 8)
530
         port map (cf_gnd_da, cf.da);
531
       cf_atasel_pad : outpad generic map (tech => padtech)
532
         port map (cf_atasel, cf.atasel);
533
       cf_we_pad : outpad generic map (tech => padtech)
534
         port map (cf_we, cf.we);
535
       cf_power_pad : outpad generic map (tech => padtech)
536
         port map (cf_power, cf.power);
537
       cf_csel_pad : outpad generic map (tech => padtech)
538
         port map (cf_csel, cf.csel);
539
 
540
    end generate;
541
 
542
-----------------------------------------------------------------------
543
---  AHB ROM ----------------------------------------------------------
544
-----------------------------------------------------------------------
545
 
546
  bpromgen : if CFG_AHBROMEN /= 0 generate
547
    brom : entity work.ahbrom
548
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
549
      port map ( rstn, clkm, ahbsi, ahbso(6));
550
  end generate;
551
  nobpromgen : if CFG_AHBROMEN = 0 generate
552
     ahbso(6) <= ahbs_none;
553
  end generate;
554
 
555
-----------------------------------------------------------------------
556
---  AHB RAM ----------------------------------------------------------
557
-----------------------------------------------------------------------
558
 
559
  ahbramgen : if CFG_AHBRAMEN = 1 generate
560
    ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR,
561
                                  tech   => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
562
      port map (rstn, clkm, ahbsi, ahbso(3));
563
  end generate;
564
  nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
565
 
566
-----------------------------------------------------------------------
567
---  Drive unused bus elements  ---------------------------------------
568
-----------------------------------------------------------------------
569
 
570
  nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_ATA) to NAHBMST-1 generate
571
    ahbmo(i) <= ahbm_none;
572
  end generate;
573
  nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
574
  nah0 : for i in 7 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
575
 
576
----
577
----
578
 
579
  -- invert signal for input via a key
580
  dsubre  <= not dsubren;
581
 
582
  -- for smc lan chip
583
  eth_lclk     <= vcc(0);
584
  eth_nads     <= gnd(0);
585
  eth_ncycle   <= vcc(0);
586
  eth_wnr      <= vcc(0);
587
  eth_nvlbus   <= vcc(0);
588
  eth_nrdyrtn  <= vcc(0);
589
  eth_ndatacs  <= vcc(0);
590
 
591
-----------------------------------------------------------------------
592
---  Boot message  ----------------------------------------------------
593
-----------------------------------------------------------------------
594
 
595
-- pragma translate_off
596
  x : report_version
597
  generic map (
598
   msg1 => "LEON3 Altera EP1C20 Demonstration design",
599
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
600
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
601
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
602
   mdel => 1
603
  );
604
-- pragma translate_on
605
 
606
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.