OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-cpci-ax/] [leon_test.c] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#include "testmod.h"
2
 
3
report(int msg)
4
{
5
        *((volatile int *) 0x20000000) = msg;
6
}
7
 
8
fail(int msg, int cause)
9
{
10
        *((volatile int *) 0x20000004) = msg + (cause << 8);
11
}
12
 
13
main()
14
{
15
        int tmp, i;
16
        ramfill();
17
        report(START_TEST);
18
//      report(CMEM_TEST);    if (tmp = cramtest()) fail(CMEM_TEST, tmp);
19
        report(REGFILE);      if (tmp = regtest()) fail(REGFILE, tmp);
20
        report(MUL_TEST);     if (tmp = multest()) fail(MUL_TEST, tmp);
21
        report(DIV_TEST);     if (tmp = divtest()) fail(DIV_TEST, tmp);
22
        report(CACHE_TEST);   if (tmp = cachetest()) fail(CACHE_TEST, tmp);
23
        report(IRQ_TEST);     if (tmp = irqtest()) fail(IRQ_TEST, tmp);
24
        report(APBUART_TEST); if (tmp = apbuart_test()) fail(APBUART_TEST, tmp);
25
//      report(FTSRCTRL);     if (tmp = ftsrctrl_test()) fail(FTSRCTRL, tmp);
26
        report(GPIO);         if (tmp = gpio_test()) fail(GPIO, tmp);
27
        report(STOP_TEST);
28
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.