OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-cpci-xc4v/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib, techmap;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
use techmap.gencomp.all;
27
library gaisler;
28
use gaisler.memctrl.all;
29
use gaisler.leon3.all;
30
use gaisler.uart.all;
31
use gaisler.misc.all;
32
use gaisler.can.all;
33
use gaisler.pci.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
use gaisler.spacewire.all;
37
 
38
library esa;
39
use esa.memoryctrl.all;
40
use esa.pcicomp.all;
41
use work.config.all;
42
 
43
entity leon3mp is
44
  generic (
45
    fabtech   : integer := CFG_FABTECH;
46
    memtech   : integer := CFG_MEMTECH;
47
    padtech   : integer := CFG_PADTECH;
48
    clktech   : integer := CFG_CLKTECH;
49
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
50
    dbguart   : integer := CFG_DUART;   -- Print UART on console
51
    pclow     : integer := CFG_PCLOW
52
  );
53
  port (
54
    resetn      : in  std_logic;
55
    clk         : in  std_logic;
56
    pllref      : in  std_logic;
57
    errorn      : out std_logic;
58
    wdogn       : out std_logic;
59
 
60
    address     : out std_logic_vector(27 downto 0);
61
    data        : inout std_logic_vector(31 downto 0);
62
    sa          : out std_logic_vector(14 downto 0);
63
    sd          : inout std_logic_vector(63 downto 0);
64
 
65
    sdclk       : out std_logic;
66
    sdcke       : out std_logic_vector (1 downto 0);    -- sdram clock enable
67
    sdcsn       : out std_logic_vector (1 downto 0);    -- sdram chip select
68
    sdwen       : out std_logic;                       -- sdram write enable
69
    sdrasn      : out std_logic;                       -- sdram ras
70
    sdcasn      : out std_logic;                       -- sdram cas
71
    sddqm       : out std_logic_vector (7 downto 0);    -- sdram dqm
72
    dsutx       : out std_logic;                        -- DSU tx data
73
    dsurx       : in  std_logic;                        -- DSU rx data
74
    dsuen       : in std_logic;
75
    dsubre      : in std_logic;
76
    dsuact      : out std_logic;
77
    txd1        : out std_logic;                        -- UART1 tx data
78
    rxd1        : in  std_logic;                        -- UART1 rx data
79
    txd2        : out std_logic;                        -- UART2 tx data
80
    rxd2        : in  std_logic;                        -- UART2 rx data
81
    ramsn       : out std_logic_vector (4 downto 0);
82
    ramoen      : out std_logic_vector (4 downto 0);
83
    rwen        : out std_logic_vector (3 downto 0);
84
    oen         : out std_logic;
85
    writen      : out std_logic;
86
    read        : out std_logic;
87
    iosn        : out std_logic;
88
    romsn       : out std_logic_vector (1 downto 0);
89
    brdyn       : in  std_logic;                        -- bus ready
90
    bexcn       : in  std_logic;                        -- bus exception
91
 
92
    gpio        : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);   -- I/O port
93
 
94
    emdio       : inout std_logic;              -- ethernet PHY interface
95
    etx_clk     : in std_logic;
96
    erx_clk     : in std_logic;
97
    erxd        : in std_logic_vector(3 downto 0);
98
    erx_dv      : in std_logic;
99
    erx_er      : in std_logic;
100
    erx_col     : in std_logic;
101
    erx_crs     : in std_logic;
102
    etxd        : out std_logic_vector(3 downto 0);
103
    etx_en      : out std_logic;
104
    etx_er      : out std_logic;
105
    emdc        : out std_logic;
106
 
107
    pci_rst     : inout std_logic;              -- PCI bus
108
    pci_clk     : in std_logic;
109
    pci_gnt     : in std_logic;
110
    pci_idsel   : in std_logic;
111
    pci_lock    : inout std_logic;
112
    pci_ad      : inout std_logic_vector(31 downto 0);
113
    pci_cbe     : inout std_logic_vector(3 downto 0);
114
    pci_frame   : inout std_logic;
115
    pci_irdy    : inout std_logic;
116
    pci_trdy    : inout std_logic;
117
    pci_devsel  : inout std_logic;
118
    pci_stop    : inout std_logic;
119
    pci_perr    : inout std_logic;
120
    pci_par     : inout std_logic;
121
    pci_req     : inout std_logic;
122
    pci_serr    : inout std_logic;
123
    pci_host    : in std_logic;
124
    pci_66      : in std_logic;
125
    pci_arb_req : in  std_logic_vector(0 to 3);
126
    pci_arb_gnt : out std_logic_vector(0 to 3);
127
 
128
    can_txd     : out std_logic_vector(0 to CFG_CAN_NUM-1);
129
    can_rxd     : in  std_logic_vector(0 to CFG_CAN_NUM-1);
130
--    can_stb   : out std_logic_vector(0 to CFG_CAN_NUM-1)
131
 
132
    spw_clk       : in  std_logic;
133
    spw_rxdp      : in  std_logic_vector(0 to CFG_SPW_NUM-1);
134
    spw_rxdn      : in  std_logic_vector(0 to CFG_SPW_NUM-1);
135
    spw_rxsp      : in  std_logic_vector(0 to CFG_SPW_NUM-1);
136
    spw_rxsn      : in  std_logic_vector(0 to CFG_SPW_NUM-1);
137
    spw_txdp      : out std_logic_vector(0 to CFG_SPW_NUM-1);
138
    spw_txdn      : out std_logic_vector(0 to CFG_SPW_NUM-1);
139
    spw_txsp      : out std_logic_vector(0 to CFG_SPW_NUM-1);
140
    spw_txsn      : out std_logic_vector(0 to CFG_SPW_NUM-1)
141
 
142
        );
143
end;
144
 
145
architecture rtl of leon3mp is
146
 
147
constant blength : integer := 12;
148
constant fifodepth : integer := 8;
149
 
150
signal vcc, gnd   : std_logic_vector(4 downto 0);
151
signal memi  : memory_in_type;
152
signal memo  : memory_out_type;
153
signal wpo   : wprot_out_type;
154
signal sdi   : sdctrl_in_type;
155
signal sdo   : sdram_out_type;
156
signal sdo2, sdo3 : sdctrl_out_type;
157
 
158
signal apbi  : apb_slv_in_type;
159
signal apbo  : apb_slv_out_vector := (others => apb_none);
160
signal ahbsi : ahb_slv_in_type;
161
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
162
signal ahbmi : ahb_mst_in_type;
163
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
164
 
165
signal clkm, rstn, rstraw, pciclk, sdclkl : std_logic;
166
signal cgi   : clkgen_in_type;
167
signal cgo   : clkgen_out_type;
168
signal u1i, u2i, dui : uart_in_type;
169
signal u1o, u2o, duo : uart_out_type;
170
 
171
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
172
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
173
 
174
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
175
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
176
 
177
signal dsui : dsu_in_type;
178
signal dsuo : dsu_out_type;
179
 
180
signal pcii : pci_in_type;
181
signal pcio : pci_out_type;
182
 
183
signal spwi : grspw_in_type_vector(0 to CFG_SPW_NUM-1);
184
signal spwo : grspw_out_type_vector(0 to CFG_SPW_NUM-1);
185
signal spw_clkl   : std_logic;
186
 
187
signal stati : ahbstat_in_type;
188
 
189
signal ethi, ethi1, ethi2 : eth_in_type;
190
signal etho, etho1, etho2 : eth_out_type;
191
 
192
signal gpti : gptimer_in_type;
193
signal gpto : gptimer_out_type;
194
 
195
signal gpioi : gpio_in_type;
196
signal gpioo : gpio_out_type;
197
 
198
signal can_lrx, can_ltx   : std_logic_vector(0 to 7);
199
signal lclk, pci_lclk : std_logic;
200
signal pci_arb_req_n, pci_arb_gnt_n   : std_logic_vector(0 to 3);
201
 
202
signal tck, tms, tdi, tdo : std_logic;
203
 
204
signal fpi : grfpu_in_vector_type;
205
signal fpo : grfpu_out_vector_type;
206
 
207
 
208
constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz
209
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
210
constant IOAEN : integer := CFG_CAN + CFG_PCI;
211
constant CFG_SDEN : integer := CFG_MCTRL_SDEN;
212
constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK;
213
constant OEPOL : integer := padoen_polarity(padtech);
214
constant notag : integer := 1;
215
 
216
begin
217
 
218
----------------------------------------------------------------------
219
---  Reset and Clock generation  -------------------------------------
220
----------------------------------------------------------------------
221
 
222
  vcc <= (others => '1'); gnd <= (others => '0');
223
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
224
 
225
  pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref);
226
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
227
  pci_clk_pad : clkpad generic map (tech => padtech, level => pci33)
228
            port map (pci_clk, pci_lclk);
229
  clkgen0 : clkgen              -- clock generator
230
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN,
231
        CFG_INVCLK, CFG_PCI, CFG_PCIDLL, CFG_PCISYSCLK, BOARD_FREQ)
232
    port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo);
233
  sdclk_pad : outpad generic map (tech => padtech)
234
        port map (sdclk, sdclkl);
235
 
236
  rst0 : rstgen                 -- reset generator
237
  port map (resetn, clkm, cgo.clklock, rstn, rstraw);
238
 
239
----------------------------------------------------------------------
240
---  AHB CONTROLLER --------------------------------------------------
241
----------------------------------------------------------------------
242
 
243
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
244
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
245
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN,
246
        nahbm => CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+CFG_SPW_NUM,
247
        nahbs => 8)
248
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
249
 
250
----------------------------------------------------------------------
251
---  LEON3 processor and DSU -----------------------------------------
252
----------------------------------------------------------------------
253
 
254
  cpu : for i in 0 to CFG_NCPU-1 generate
255
    nosh : if CFG_GRFPUSH = 0 generate
256
      u0 : leon3s               -- LEON3 processor      
257
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
258
        0, CFG_MAC, pclow, notag, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
259
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
260
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
261
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
262
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
263
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
264
                irqi(i), irqo(i), dbgi(i), dbgo(i));
265
    end generate;
266
  end generate;
267
 
268
  sh : if CFG_GRFPUSH = 1 generate
269
    cpu : for i in 0 to CFG_NCPU-1 generate
270
      u0 : leon3sh              -- LEON3 processor      
271
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
272
        0, CFG_MAC, pclow, notag, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
273
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
274
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
275
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
276
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
277
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
278
                irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i));
279
    end generate;
280
 
281
    grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU)
282
      port map (clkm, rstn, fpi, fpo);
283
 
284
  end generate;
285
 
286
  errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
287
 
288
  dsugen : if CFG_DSU = 1 generate
289
    dsu0 : dsu3                 -- LEON3 Debug Support Unit
290
    generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
291
       ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
292
    port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
293
    dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
294
    dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
295
    dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
296
  end generate;
297
  nodsu : if CFG_DSU = 0 generate
298
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
299
  end generate;
300
 
301
  dcomgen : if CFG_AHB_UART = 1 generate
302
    dcom0: ahbuart              -- Debug UART
303
    generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
304
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
305
    dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
306
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
307
  end generate;
308
--  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
309
 
310
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
311
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
312
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
313
               open, open, open, open, open, open, open, gnd(0));
314
  end generate;
315
 
316
----------------------------------------------------------------------
317
---  Memory controllers ----------------------------------------------
318
----------------------------------------------------------------------
319
 
320
  memi.edac <= gpioo.val(2); memi.bwidth <= gpioo.val(1 downto 0);
321
 
322
  mctrl0 : if CFG_MCTRL_LEON2 = 1 generate      -- LEON2 memory controller
323
    sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
324
        srbanks => 4, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT,
325
        ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK,
326
        sepbus => CFG_MCTRL_SEPBUS, oepol => OEPOL,
327
        sdbits => 32 + 32*CFG_MCTRL_SD64, pageburst => CFG_MCTRL_PAGE)
328
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
329
 
330
    addr_pad : outpadv generic map (width => 28, tech => padtech)
331
        port map (address, memo.address(27 downto 0));
332
    rams_pad : outpadv generic map (width => 5, tech => padtech)
333
        port map (ramsn, memo.ramsn(4 downto 0));
334
    roms_pad : outpadv generic map (width => 2, tech => padtech)
335
        port map (romsn, memo.romsn(1 downto 0));
336
    oen_pad  : outpad generic map (tech => padtech)
337
        port map (oen, memo.oen);
338
    rwen_pad : outpadv generic map (width => 4, tech => padtech)
339
        port map (rwen, memo.wrn);
340
    roen_pad : outpadv generic map (width => 5, tech => padtech)
341
        port map (ramoen, memo.ramoen(4 downto 0));
342
    wri_pad  : outpad generic map (tech => padtech)
343
        port map (writen, memo.writen);
344
    read_pad : outpad generic map (tech => padtech)
345
        port map (read, memo.read);
346
    iosn_pad : outpad generic map (tech => padtech)
347
        port map (iosn, memo.iosn);
348
    data_pad : iopadvv generic map (tech => padtech, width => 32, oepol => OEPOL)
349
      port map (data, memo.data, memo.vbdrive, memi.data);
350
    brdyn_pad : inpad generic map (tech => padtech) port map (brdyn, memi.brdyn);
351
    bexcn_pad : inpad generic map (tech => padtech) port map (bexcn, memi.bexcn);
352
    memi.writen <= '1'; memi.wrn <= "1111";
353
 
354
    sdpads : if CFG_MCTRL_SDEN = 1 generate             -- SDRAM controller
355
      sd2 : if CFG_MCTRL_SEPBUS = 1 generate
356
        sa_pad : outpadv generic map (width => 15) port map (sa, memo.sa);
357
          sd_pad : iopadvv generic map (tech => padtech, width => 32, oepol => OEPOL)
358
          port map (sd(31 downto 0), memo.sddata(31 downto 0),
359
                memo.svbdrive(31 downto 0), memi.sd(31 downto 0));
360
          sd2 : if CFG_MCTRL_SD64 = 1 generate
361
            sd_pad2 : iopadvv generic map (tech => padtech, width => 32)
362
            port map (sd(63 downto 32), memo.data(31 downto 0),
363
                memo.svbdrive(63 downto 32), memi.sd(63 downto 32));
364
        end generate;
365
      end generate;
366
      sdwen_pad : outpad generic map (tech => padtech)
367
           port map (sdwen, sdo.sdwen);
368
      sdras_pad : outpad generic map (tech => padtech)
369
           port map (sdrasn, sdo.rasn);
370
      sdcas_pad : outpad generic map (tech => padtech)
371
           port map (sdcasn, sdo.casn);
372
      sddqm_pad : outpadv generic map (width => 8, tech => padtech)
373
           port map (sddqm, sdo.dqm);
374
      sdcke_pad : outpadv generic map (width => 2, tech => padtech)
375
           port map (sdcke, sdo.sdcke);
376
      sdcsn_pad : outpadv generic map (width => 2, tech => padtech)
377
           port map (sdcsn, sdo.sdcsn);
378
    end generate;
379
  end generate;
380
 
381
  nosd0 : if (CFG_SDEN = 0) generate             -- no SDRAM controller
382
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
383
           port map (sdcke, sdo3.sdcke);
384
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
385
           port map (sdcsn, sdo3.sdcsn);
386
  end generate;
387
 
388
 
389
  mg0 : if CFG_MCTRL_LEON2 = 0 generate  -- No PROM/SRAM controller
390
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
391
    rams_pad : outpadv generic map (width => 5, tech => padtech)
392
        port map (ramsn, vcc);
393
    roms_pad : outpadv generic map (width => 2, tech => padtech)
394
        port map (romsn, vcc(1 downto 0));
395
  end generate;
396
 
397
 
398
----------------------------------------------------------------------
399
---  APB Bridge and various periherals -------------------------------
400
----------------------------------------------------------------------
401
 
402
  apb0 : apbctrl                                -- AHB/APB bridge
403
  generic map (hindex => 1, haddr => CFG_APBADDR)
404
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
405
 
406
  ua1 : if CFG_UART1_ENABLE /= 0 generate
407
    uart1 : apbuart                     -- UART 1
408
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
409
        fifosize => CFG_UART1_FIFO)
410
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
411
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
412
  end generate;
413
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
414
 
415
  ua2 : if CFG_UART2_ENABLE /= 0 generate
416
    uart2 : apbuart                     -- UART 2
417
    generic map (pindex => 9, paddr => 9,  pirq => 3, fifosize => CFG_UART2_FIFO)
418
    port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
419
    u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd;
420
  end generate;
421
  noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
422
 
423
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
424
    irqctrl0 : irqmp                    -- interrupt controller
425
    generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
426
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
427
  end generate;
428
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
429
    x : for i in 0 to CFG_NCPU-1 generate
430
      irqi(i).irl <= "0000";
431
    end generate;
432
--    apbo(2) <= apb_none;
433
  end generate;
434
 
435
  gpt : if CFG_GPT_ENABLE /= 0 generate
436
    timer0 : gptimer                    -- timer unit
437
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
438
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
439
        nbits => CFG_GPT_TW)
440
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
441
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
442
    wdogn_pad : odpad generic map (tech => padtech, oepol => OEPOL) port map (wdogn, gpto.wdog);
443
  end generate;
444
--  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
445
 
446
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
447
    grgpio0: grgpio
448
      generic map( pindex => 6, paddr => 6, imask => CFG_GRGPIO_IMASK,
449
        nbits => CFG_GRGPIO_WIDTH)
450
      port map( rstn, clkm, apbi, apbo(6), gpioi, gpioo);
451
 
452
      pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
453
        pio_pad : iopad generic map (tech => padtech)
454
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
455
      end generate;
456
   end generate;
457
 
458
  ahbs : if CFG_AHBSTAT = 1 generate    -- AHB status register
459
    stati.cerror(0) <= memo.ce;
460
    ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 1,
461
        nftslv => CFG_AHBSTATN)
462
      port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
463
  end generate;
464
  nop2 : if CFG_AHBSTAT = 0 generate apbo(15) <= apb_none; end generate;
465
 
466
-----------------------------------------------------------------------
467
---  PCI   ------------------------------------------------------------
468
-----------------------------------------------------------------------
469
 
470
  pp : if CFG_PCI /= 0 generate
471
 
472
    pci_gr0 : if CFG_PCI = 1 generate   -- simple target-only
473
      pci0 : pci_target generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
474
        device_id => CFG_PCIDID, vendor_id => CFG_PCIVID)
475
      port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
476
    end generate;
477
 
478
    pci_mtf0 : if CFG_PCI = 2 generate  -- master/target with fifo
479
      pci0 : pci_mtf generic map (memtech => memtech, hmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
480
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
481
          hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#,
482
          ioaddr => 16#400#, nsync => 2, hostrst => 1)
483
      port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
484
        ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
485
    end generate;
486
 
487
    pci_mtf1 : if CFG_PCI = 3 generate  -- master/target with fifo and DMA
488
      dma : pcidma generic map (memtech => memtech, dmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
489
          dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
490
          fifodepth => log2(fifodepth), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
491
          slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#,
492
          nsync => 2, hostrst => 1)
493
        port map (rstn, clkm, pciclk, pcii, pcio, apbo(5),  ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
494
          apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
495
    end generate;
496
 
497
    pci_trc0 : if CFG_PCITBUFEN /= 0 generate    -- PCI trace buffer
498
      pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
499
        memtech => memtech, pindex  => 8, paddr => 16#100#, pmask => 16#f00#)
500
        port map ( rstn, clkm, pciclk, pcii, apbi, apbo(8));
501
    end generate;
502
 
503
    pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter
504
      pciarb0 : pciarb generic map (pindex => 8, paddr => 8,
505
                                    apb_en => CFG_PCI_ARBAPB)
506
       port map ( clk => pciclk, rst_n => pcii.rst,
507
         req_n => pci_arb_req_n, frame_n => pcii.frame,
508
         gnt_n => pci_arb_gnt_n, pclk => clkm,
509
         prst_n => rstn, apbi => apbi, apbo => apbo(10)
510
       );
511
      pgnt_pad : outpadv generic map (tech => padtech, width => 4)
512
        port map (pci_arb_gnt, pci_arb_gnt_n);
513
      preq_pad : inpadv generic map (tech => padtech, width => 4)
514
        port map (pci_arb_req, pci_arb_req_n);
515
    end generate;
516
 
517
    pcipads0 : pcipads generic map (padtech => padtech) -- PCI pads
518
    port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe,
519
      pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr,
520
      pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio );
521
 
522
  end generate;
523
 
524
--  nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate;
525
--  nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate;
526
--  nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate;
527
--  notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate;
528
--  noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate;
529
 
530
 
531
-----------------------------------------------------------------------
532
---  ETHERNET ---------------------------------------------------------
533
-----------------------------------------------------------------------
534
 
535
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
536
      e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG,
537
        pindex => 14, paddr => 14, pirq => 14, memtech => memtech,
538
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
539
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
540
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
541
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
542
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
543
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG), apbi => apbi,
544
       apbo => apbo(14), ethi => ethi, etho => etho);
545
 
546
      emdio_pad : iopad generic map (tech => padtech)
547
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
548
      etxc_pad : inpad generic map (tech => padtech)
549
        port map (etx_clk, ethi.tx_clk);
550
      erxc_pad : inpad generic map (tech => padtech)
551
        port map (erx_clk, ethi.rx_clk);
552
      erxd_pad : inpadv generic map (tech => padtech, width => 4)
553
        port map (erxd, ethi.rxd(3 downto 0));
554
      erxdv_pad : inpad generic map (tech => padtech)
555
        port map (erx_dv, ethi.rx_dv);
556
      erxer_pad : inpad generic map (tech => padtech)
557
        port map (erx_er, ethi.rx_er);
558
      erxco_pad : inpad generic map (tech => padtech)
559
        port map (erx_col, ethi.rx_col);
560
      erxcr_pad : inpad generic map (tech => padtech)
561
        port map (erx_crs, ethi.rx_crs);
562
 
563
      etxd_pad : outpadv generic map (tech => padtech, width => 4)
564
        port map (etxd, etho.txd(3 downto 0));
565
      etxen_pad : outpad generic map (tech => padtech)
566
        port map ( etx_en, etho.tx_en);
567
      etxer_pad : outpad generic map (tech => padtech)
568
        port map (etx_er, etho.tx_er);
569
      emdc_pad : outpad generic map (tech => padtech)
570
        port map (emdc, etho.mdc);
571
 
572
--      emdis_pad : outpad generic map (tech => padtech) 
573
--      port map (emddis, vcc(0));
574
--      eepwrdwn_pad : outpad generic map (tech => padtech) 
575
--      port map (epwrdwn, gnd(0));
576
--      esleep_pad : outpad generic map (tech => padtech) 
577
--      port map (esleep, gnd(0));
578
--      epause_pad : outpad generic map (tech => padtech) 
579
--      port map (epause, gnd(0));
580
--      ereset_pad : outpad generic map (tech => padtech) 
581
--      port map (ereset, gnd(0));
582
 
583
    end generate;
584
 
585
-----------------------------------------------------------------------
586
---  CAN --------------------------------------------------------------
587
-----------------------------------------------------------------------
588
 
589
   can0 : if CFG_CAN = 1 generate
590
     can0 : can_mc generic map (slvndx => 6, ioaddr => CFG_CANIO,
591
        iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech,
592
        ncores => CFG_CAN_NUM, sepirq => CFG_CANSEPIRQ)
593
        port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
594
 
595
     can_pads : for i in 0 to CFG_CAN_NUM-1 generate
596
         can_tx_pad : outpad generic map (tech => padtech)
597
            port map (can_txd(i), can_ltx(i));
598
         can_rx_pad : inpad generic map (tech => padtech)
599
            port map (can_rxd(i), can_lrx(i));
600
     end generate;
601
   end generate;
602
 
603
--   can_stb <= '0';   -- no standby
604
   ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
605
 
606
-----------------------------------------------------------------------
607
---  AHB RAM ----------------------------------------------------------
608
-----------------------------------------------------------------------
609
 
610
--  ocram : if CFG_AHBRAMEN = 1 generate 
611
--    ahbram0 : ftahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, 
612
--      tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pindex => 6,
613
--      paddr => 6, edacen => CFG_AHBRAEDAC, autoscrub => CFG_AHBRASCRU,
614
--      errcnten => CFG_AHBRAECNT, cntbits => CFG_AHBRAEBIT)
615
--    port map ( rstn, clkm, ahbsi, ahbso(7), apbi, apbo(6), open);
616
--  end generate;
617
--
618
--  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
619
 
620
-----------------------------------------------------------------------
621
---  SPACEWIRE  -------------------------------------------------------
622
-----------------------------------------------------------------------
623
 
624
  spw : if CFG_SPW_EN > 0 generate
625
   spw_clk_pad : clkpad generic map (tech => padtech) port map (spw_clk, spw_clkl);
626
--   spw_clkl <= pciclk;
627
   swloop : for i in 0 to CFG_SPW_NUM-1 generate
628
   sw0 : grspwm generic map(tech => fabtech,
629
     hindex => CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+i,
630
     pindex => 10+i, paddr => 10+i, pirq => 10+i,
631
     sysfreq => CPU_FREQ, nsync => 1, rmap => CFG_SPW_RMAP,
632
     rmapcrc => CFG_SPW_RMAPCRC, fifosize1 => CFG_SPW_AHBFIFO,
633
     fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 1, memtech => memtech,
634
     rmapbufs => CFG_SPW_RMAPBUF,ft => CFG_SPW_FT, ports => 1, dmachan => 1,
635
     netlist => CFG_SPW_NETLIST, spwcore => CFG_SPW_GRSPW)
636
     port map(rstn, clkm, spw_clkl, ahbmi,
637
        ahbmo(CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+i),
638
        apbi, apbo(10+i), spwi(i), spwo(i));
639
     spwi(i).tickin <= '0'; spwi(i).rmapen <= '0';
640
     spwi(i).clkdiv10 <= conv_std_logic_vector(CPU_FREQ/10000-1, 8);
641
     spw_rxd_pad : inpad_ds generic map (padtech, lvds, x25v)
642
         port map (spw_rxdp(i), spw_rxdn(i), spwi(i).d(0));
643
     spw_rxs_pad : inpad_ds generic map (padtech, lvds, x25v)
644
         port map (spw_rxsp(i), spw_rxsn(i), spwi(i).s(0));
645
     spw_txd_pad : outpad_ds generic map (padtech, lvds, x25v)
646
         port map (spw_txdp(i), spw_txdn(i), spwo(i).d(0), gnd(0));
647
     spw_txs_pad : outpad_ds generic map (padtech, lvds, x25v)
648
         port map (spw_txsp(i), spw_txsn(i), spwo(i).s(0), gnd(0));
649
   end generate;
650
  end generate;
651
 
652
-----------------------------------------------------------------------
653
---  Drive unused bus elements  ---------------------------------------
654
-----------------------------------------------------------------------
655
 
656
--  nam1 : for i in (CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG) to NAHBMST-1 generate
657
--    ahbmo(i) <= ahbm_none;
658
--  end generate;
659
--  nam2 : if CFG_PCI > 1 generate
660
--    ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+log2x(CFG_PCI)-1) <= ahbm_none;
661
--  end generate;
662
--  nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
663
--  apbo(6) <= apb_none;
664
 
665
-----------------------------------------------------------------------
666
---  Boot message  ----------------------------------------------------
667
-----------------------------------------------------------------------
668
 
669
-- pragma translate_off
670
  x : report_version
671
  generic map (
672
   msg1 => "LEON3FT GR-CPCI-XC4LX100 Demonstration design",
673
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/100) & "." & tost((LIBVHDL_VERSION mod 10)/10)
674
      & "." & tost(LIBVHDL_VERSION mod 100),
675
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
676
   mdel => 1
677
  );
678
-- pragma translate_on
679
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.