OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [leon3mp_designer.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
new_design -name "leon3mp" -family "spartan3"
2
set_device -die "xc3s1500" -package " " -speed "-4" -voltage "1.5" -iostd "LVTTL" -jtag "yes" -probe "yes" -trst "yes" -temprange "" -voltrange ""
3
if {[file exist leon3mp.pdc]} {
4
import_source -format "edif" -edif_flavor "GENERIC"  -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -format "pdc" -abort_on_error "no" {leon3mp.pdc}
5
} else {
6
import_source -format "edif" -edif_flavor "GENERIC"  -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf}
7
}
8
compile -combine_register 1
9
if {[file exist ]} {
10
   import_aux -format "pdc" -abort_on_error "no" {}
11
   pin_commit
12
} else {
13
   puts "WARNING: No PDC file imported."
14
}
15
if {[file exist ]} {
16
   import_aux -format "sdc" -merge_timing "no" {}
17
} else {
18
   puts "WARNING: No SDC file imported."
19
}
20
save_design {leon3mp.adb}
21
report -type status {./actel/report_status_pre.log}
22
layout -timing_driven -incremental "OFF"
23
save_design {leon3mp.adb}
24
backannotate -dir {./actel} -name "leon3mp" -format "SDF" -language "VHDL93" -netlist
25
report -type "timer" -sortby "actual" -maxpaths "100" -case "worst" -path_selection "critical" -setup_hold "on" -expand_failed "off" -clkpinbreak "off" -clrpinbreak "on" -latchdatapinbreak "off" -slack  {./actel/report_timer_worst.txt}
26
report -type "timer" -sortby "actual" -maxpaths "100" -case "best"  -path_selection "critical" -setup_hold "on" -expand_failed "off" -clkpinbreak "off" -clrpinbreak "on" -latchdatapinbreak "off" -slack  {./actel/report_timer_best.txt}
27
report -type "timer" -analysis "max" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no"  {./actel/report_timer_max.txt}
28
report -type "timer" -analysis "min" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no"  {./actel/report_timer_min.txt}
29
report -type "pin" -listby "name" {./actel/report_pin_name.log}
30
report -type "pin" -listby "number" {./actel/report_pin_number.log}
31
report -type "datasheet" {./actel/report_datasheet.txt}
32
export -format "pdb" -feature "prog_fpga" -io_state "Tri-State" {./actel/leon3mp.pdb}
33
export -format log -diagnostic {./actel/report_log.log}
34
report -type status {./actel/report_status_post.log}
35
save_design {leon3mp.adb}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.