OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [gaisler/] [rf_stage/] [_primary.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library verilog;
2
use verilog.vl_types.all;
3
entity rf_stage is
4
    port(
5
        clk             : in     vl_logic;
6
        rst_i           : in     vl_logic;
7
        cmp_ctl_i       : in     vl_logic_vector(2 downto 0);
8
        ext_ctl_i       : in     vl_logic_vector(2 downto 0);
9
        fw_alu_i        : in     vl_logic_vector(31 downto 0);
10
        fw_cmp_rs       : in     vl_logic_vector(2 downto 0);
11
        fw_cmp_rt       : in     vl_logic_vector(2 downto 0);
12
        fw_mem_i        : in     vl_logic_vector(31 downto 0);
13
        id_cmd          : in     vl_logic_vector(2 downto 0);
14
        ins_i           : in     vl_logic_vector(31 downto 0);
15
        pc_gen_ctl      : in     vl_logic_vector(2 downto 0);
16
        pc_i            : in     vl_logic_vector(31 downto 0);
17
        rd_sel_i        : in     vl_logic_vector(1 downto 0);
18
        wb_din_i        : in     vl_logic_vector(31 downto 0);
19
        zz_spc_i        : in     vl_logic_vector(31 downto 0);
20
        iack_o          : out    vl_logic;
21
        id2ra_ctl_clr_o : out    vl_logic;
22
        id2ra_ctl_cls_o : out    vl_logic;
23
        ra2ex_ctl_clr_o : out    vl_logic;
24
        ext_o           : out    vl_logic_vector(31 downto 0);
25
        pc_next         : out    vl_logic_vector(31 downto 0);
26
        rd_index_o      : out    vl_logic_vector(4 downto 0);
27
        rs_n_o          : out    vl_logic_vector(4 downto 0);
28
        rs_o            : out    vl_logic_vector(31 downto 0);
29
        rt_n_o          : out    vl_logic_vector(4 downto 0);
30
        rt_o            : out    vl_logic_vector(31 downto 0);
31
        qa              : in     vl_logic_vector(31 downto 0);
32
        qb              : in     vl_logic_vector(31 downto 0);
33
        rdaddra1        : out    vl_logic_vector(4 downto 0);
34
        rdaddrb1        : out    vl_logic_vector(4 downto 0);
35
        branch          : out    vl_logic;
36
        hold            : in     vl_logic
37
    );
38
end rf_stage;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.