OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [opencores/] [ac97_wb_if/] [_primary.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library verilog;
2
use verilog.vl_types.all;
3
entity ac97_wb_if is
4
    port(
5
        clk             : in     vl_logic;
6
        rst             : in     vl_logic;
7
        wb_data_i       : in     vl_logic_vector(31 downto 0);
8
        wb_data_o       : out    vl_logic_vector(31 downto 0);
9
        wb_addr_i       : in     vl_logic_vector(31 downto 0);
10
        wb_sel_i        : in     vl_logic_vector(3 downto 0);
11
        wb_we_i         : in     vl_logic;
12
        wb_cyc_i        : in     vl_logic;
13
        wb_stb_i        : in     vl_logic;
14
        wb_ack_o        : out    vl_logic;
15
        wb_err_o        : out    vl_logic;
16
        adr             : out    vl_logic_vector(3 downto 0);
17
        dout            : out    vl_logic_vector(31 downto 0);
18
        rf_din          : in     vl_logic_vector(31 downto 0);
19
        i3_din          : in     vl_logic_vector(31 downto 0);
20
        i4_din          : in     vl_logic_vector(31 downto 0);
21
        i6_din          : in     vl_logic_vector(31 downto 0);
22
        rf_we           : out    vl_logic;
23
        rf_re           : out    vl_logic;
24
        o3_we           : out    vl_logic;
25
        o4_we           : out    vl_logic;
26
        o6_we           : out    vl_logic;
27
        o7_we           : out    vl_logic;
28
        o8_we           : out    vl_logic;
29
        o9_we           : out    vl_logic;
30
        i3_re           : out    vl_logic;
31
        i4_re           : out    vl_logic;
32
        i6_re           : out    vl_logic
33
    );
34
end ac97_wb_if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.