OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [fmf/] [utilities/] [gen_utils.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
--------------------------------------------------------------------------------
2
--  File name: gen_utils.vhd
3
--------------------------------------------------------------------------------
4
--  Copyright (C) 1996, 1998, 2001  Free Model Foundry; http://eda.org/fmf/
5
--
6
--  This program is free software; you can redistribute it and/or modify
7
--  it under the terms of the GNU General Public License version 2 as
8
--  published by the Free Software Foundation.
9
--
10
--  MODIFICATION HISTORY:
11
--
12
--  version: |  author:  | mod date: | changes made:
13
--    V1.0     R. Steele   96 SEP 26   Initial release
14
--    V1.1     REV3        97 Feb 27   Added Xon and MsgOn generics
15
--    V1.2     R. Steele   97 APR 16   Changed wired-or to wired-and
16
--    V1.3     R. Steele   97 APR 16   Added diff. receiver table
17
--    V1.4     R. Munden   98 APR 13   Added GenParity and CheckParity
18
--    V1.5     R. Munden   01 NOV 24   Added UnitDelay01ZX
19
--
20
--------------------------------------------------------------------------------
21
LIBRARY IEEE;   USE IEEE.std_Logic_1164.ALL;
22
                USE IEEE.VITAL_primitives.ALL;
23
                USE IEEE.VITAL_timing.ALL;
24
 
25
PACKAGE gen_utils IS
26
 
27
    ----------------------------------------------------------------------------
28
    -- Result map for Wired-and output values (open collector)
29
    ----------------------------------------------------------------------------
30
    CONSTANT STD_wired_and_rmap : VitalResultMapType := ('U','X','0','Z');
31
 
32
    ----------------------------------------------------------------------------
33
    -- Table for computing a single signal from a differential receiver input
34
    -- pair.
35
    ----------------------------------------------------------------------------
36
    CONSTANT diff_rec_tab : VitalStateTableType  := (
37
 
38
    ------INPUTS--|-PREV-|-OUTPUT----
39
    --   A   ANeg | Aint |  Aint'  --
40
    --------------|------|-----------
41
      ( 'X', '-',    '-',   'X'), -- A unknown
42
      ( '-', 'X',    '-',   'X'), -- A unknown
43
      ( '1', '-',    'X',   '1'), -- Recover from 'X'
44
      ( '0', '-',    'X',   '0'), -- Recover from 'X'
45
      ( '/', '0',    '0',   '1'), -- valid diff. rising edge
46
      ( '1', '\',    '0',   '1'), -- valid diff. rising edge
47
      ( '\', '1',    '1',   '0'), -- valid diff. falling edge
48
      ( '0', '/',    '1',   '0'), -- valid diff. falling edge
49
      ( '-', '-',    '-',   'S')  -- default
50
    ); -- end of VitalStateTableType definition
51
 
52
 
53
    ----------------------------------------------------------------------------
54
    -- Default Constants
55
    ----------------------------------------------------------------------------
56
    CONSTANT UnitDelay     : VitalDelayType     := 1 ns;
57
    CONSTANT UnitDelay01   : VitalDelayType01   := (1 ns, 1 ns);
58
    CONSTANT UnitDelay01Z  : VitalDelayType01Z  := (others => 1 ns);
59
    CONSTANT UnitDelay01ZX : VitalDelayType01ZX := (others => 1 ns);
60
 
61
    CONSTANT DefaultInstancePath : STRING  := "*";
62
    CONSTANT DefaultTimingChecks : BOOLEAN := FALSE;
63
    CONSTANT DefaultTimingModel  : STRING  := "UNIT";
64
    CONSTANT DefaultXon          : BOOLEAN := TRUE;
65
    CONSTANT DefaultMsgOn        : BOOLEAN := TRUE;
66
 
67
    -- Older VITAL generic being phased out
68
    CONSTANT DefaultXGeneration  : BOOLEAN := TRUE;
69
 
70
    -------------------------------------------------------------------
71
    -- Generate Parity for each 8-bit in 9th bit
72
    -------------------------------------------------------------------
73
    FUNCTION GenParity
74
        (Data    : in std_logic_vector;     -- Data
75
         ODDEVEN : in std_logic;        -- ODD (1) / EVEN(0)
76
         SIZE    : in POSITIVE)         -- Bit Size
77
         RETURN  std_logic_vector;
78
 
79
    -------------------------------------------------------------------
80
    -- Check Parity for each 8-bit in 9th bit
81
    -------------------------------------------------------------------
82
    FUNCTION CheckParity
83
        (Data    : in std_logic_vector;     -- Data
84
         ODDEVEN : in std_logic;        -- ODD (1) / EVEN(0)
85
         SIZE    : in POSITIVE)         -- Bit Size
86
         RETURN  std_logic;         -- '0' - Parity Error
87
 
88
END gen_utils;
89
 
90
PACKAGE BODY gen_utils IS
91
 
92
    function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is
93
    -- pragma subpgm_id 403
94
    variable result: STD_LOGIC;
95
    begin
96
    result := '0';
97
    for i in ARG'range loop
98
        result := result xor ARG(i);
99
    end loop;
100
        return result;
101
    end;
102
    -------------------------------------------------------------------
103
    -- Generate Parity for each 8-bit in 9th bit
104
    -------------------------------------------------------------------
105
    FUNCTION GenParity
106
        (Data    : in std_logic_vector;     -- Data
107
         ODDEVEN : in std_logic;        -- ODD (1) / EVEN(0)
108
         SIZE    : in POSITIVE)         -- Bit Size
109
         RETURN  std_logic_vector
110
    IS
111
        VARIABLE I: NATURAL;
112
        VARIABLE Result: std_logic_vector (Data'Length - 1 DOWNTO 0);
113
    BEGIN
114
        I := 0;
115
        WHILE (I < SIZE) LOOP
116
          Result(I+7 DOWNTO I) := Data(I+7 downto I);
117
          Result(I+8) := XOR_REDUCE( Data(I+7 downto I) ) XOR ODDEVEN;
118
          I := I + 9;
119
        END LOOP;
120
        RETURN Result;
121
    END GenParity;
122
 
123
    -------------------------------------------------------------------
124
    -- Check Parity for each 8-bit in 9th bit
125
    -------------------------------------------------------------------
126
    FUNCTION CheckParity
127
        (Data    : in std_logic_vector;     -- Data
128
         ODDEVEN : in std_logic;        -- ODD (1) / EVEN(0)
129
         SIZE    : in POSITIVE)         -- Bit Size
130
         RETURN  std_logic          -- '0' - Parity Error
131
    IS
132
        VARIABLE I: NATURAL;
133
        VARIABLE Result: std_logic;
134
    BEGIN
135
        I := 0; Result := '1';
136
        WHILE (I < SIZE) LOOP
137
          Result := Result AND
138
                    NOT (XOR_REDUCE( Data(I+8 downto I) ) XOR ODDEVEN);
139
          I := I + 9;
140
        END LOOP;
141
        RETURN Result;
142
    END CheckParity;
143
 
144
END gen_utils;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.