OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [leon3/] [cpu_disasx.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Package:     cpu_disasx
20
-- File:        cpu_disasx.vhd
21
-- Author:      Jiri Gaisler, Gaisler Research
22
-- Description: SPARC disassembler according to SPARC V8 manual 
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
-- pragma translate_off
28
library grlib;
29
use grlib.cpu_disas;
30
-- pragma translate_on
31
 
32
entity cpu_disasx is
33
port (
34
  clk   : in std_ulogic;
35
  rstn  : in std_ulogic;
36
  dummy : out std_ulogic;
37
  inst  : in std_logic_vector(31 downto 0);
38
  pc    : in std_logic_vector(31 downto 2);
39
  result: in std_logic_vector(31 downto 0);
40
  index : in std_logic_vector(3 downto 0);
41
  wreg  : in std_ulogic;
42
  annul : in std_ulogic;
43
  holdn : in std_ulogic;
44
  pv    : in std_ulogic;
45
  trap  : in std_ulogic;
46
  disas : in std_ulogic);
47
end;
48
 
49
architecture behav of cpu_disasx is
50
component cpu_disas
51
port (
52
  clk   : in std_ulogic;
53
  rstn  : in std_ulogic;
54
  dummy : out std_ulogic;
55
  inst  : in std_logic_vector(31 downto 0);
56
  pc    : in std_logic_vector(31 downto 2);
57
  result: in std_logic_vector(31 downto 0);
58
  index : in std_logic_vector(3 downto 0);
59
  wreg  : in std_ulogic;
60
  annul : in std_ulogic;
61
  holdn : in std_ulogic;
62
  pv    : in std_ulogic;
63
  trap  : in std_ulogic;
64
  disas : in std_ulogic);
65
end component;
66
 
67
begin
68
 
69
  u0 : cpu_disas
70
  port map (clk, rstn, dummy, inst, pc, result, index, wreg, annul, holdn, pv, trap, disas);
71
 
72
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.