OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [grlib/] [modgen/] [multlib.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
-- Package:     multlib
3
-- File:        multlib.vhd
4
-- Author:      Jiri Gaisler - Gaisler Research
5
-- Description: A set of multipliers generated from the Arithmetic Module
6
--              Generator at Norwegian University of Science and Technology.
7
------------------------------------------------------------------------------
8
 
9
LIBRARY ieee;
10
use IEEE.std_logic_1164.all;
11
 
12
package multlib is
13
 
14
component mul_17_17
15
  generic (mulpipe : integer := 0);
16
  port (
17
    clk  : in std_ulogic;
18
    holdn: in std_ulogic;
19
    x    : in  std_logic_vector(16 downto 0);
20
    y    : in  std_logic_vector(16 downto 0);
21
    p    : out std_logic_vector(33 downto 0)
22
  );
23
end component;
24
 
25
component mul_33_9
26
  port (
27
    x    : in  std_logic_vector(32 downto 0);
28
    y    : in  std_logic_vector(8 downto 0);
29
    p    : out std_logic_vector(41 downto 0)
30
  );
31
end component;
32
 
33
component mul_33_17
34
  port (
35
    x    : in  std_logic_vector(32 downto 0);
36
    y    : in  std_logic_vector(16 downto 0);
37
    p    : out std_logic_vector(49 downto 0)
38
  );
39
end component;
40
 
41
component mul_33_33
42
  generic (mulpipe : integer := 0);
43
  port (
44
    clk  : in std_ulogic;
45
    holdn: in std_ulogic;
46
    x    : in  std_logic_vector(32 downto 0);
47
    y    : in  std_logic_vector(32 downto 0);
48
    p    : out std_logic_vector(65 downto 0)
49
  );
50
end component;
51
 
52
component add32
53
  port(
54
    x    : in  std_logic_vector(31 downto 0);
55
    y    : in  std_logic_vector(31 downto 0);
56
    ci   : in  std_ulogic;
57
    s    : out std_logic_vector(31 downto 0);
58
    co   : out std_ulogic
59
  );
60
end component;
61
 
62
end multlib;
63
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.