OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [openchip/] [sui/] [sui.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
----------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2004 GAISLER RESEARCH
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
-----------------------------------------------------------------------------
13
-- package:     sui
14
-- File:        sui.vhd
15
-- Author:      Antti Lukats, OpenChip
16
-- Description: Simple User Interface types and components
17
------------------------------------------------------------------------------
18
 
19
library ieee;
20
use ieee.std_logic_1164.all;
21
library grlib;
22
use grlib.amba.all;
23
 
24
package sui is
25
 
26
type sui_in_type is record
27
  post_code_in  : std_logic_vector(7 downto 0);
28
  switch_in     : std_logic_vector(31 downto 0);
29
  button_in     : std_logic_vector(31 downto 0);
30
 
31
  lcd_in        : std_logic_vector(7 downto 0);
32
end record;
33
 
34
type sui_out_type is record
35
  led_a_out     : std_logic_vector(3 downto 0);
36
  led_b_out     : std_logic_vector(3 downto 0);
37
  led_c_out     : std_logic_vector(3 downto 0);
38
  led_d_out     : std_logic_vector(3 downto 0);
39
  led_e_out     : std_logic_vector(3 downto 0);
40
  led_f_out     : std_logic_vector(3 downto 0);
41
  led_g_out     : std_logic_vector(3 downto 0);
42
  led_dp_out    : std_logic_vector(3 downto 0);
43
  led_com_out   : std_logic_vector(31 downto 0);
44
 
45
  led_out       : std_logic_vector(31 downto 0);
46
 
47
  lcd_out       : std_logic_vector(7 downto 0);
48
  lcd_oe        : std_logic;
49
  lcd_en        : std_logic_vector(3 downto 0);
50
  lcd_rs        : std_logic;
51
  lcd_r_wn      : std_logic;
52
  lcd_backlight : std_logic;
53
 
54
 
55
  buzzer        : std_logic;
56
end record;
57
 
58
component apbsui
59
  generic (
60
    pindex  : integer := 0;
61
    paddr   : integer := 0;
62
    pmask   : integer := 16#fff#;
63
    pirq    : integer := 0;
64
-- active level for Segment LED segments
65
    led7act : integer := 1;
66
-- active level for single LED's
67
    ledact  : integer := 1);
68
 
69
  port (
70
    rst     : in  std_ulogic;
71
    clk     : in  std_ulogic;
72
    apbi    : in  apb_slv_in_type;
73
    apbo    : out apb_slv_out_type;
74
    suii    : in  sui_in_type;
75
    suio    : out sui_out_type);
76
end component;
77
 
78
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.