OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [opencores/] [i2c/] [i2coc.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
---------------------------------------------------------------------
2
----                                                             ----
3
---- Copyright (C) 2000 Richard Herveille                        ----
4
----                    richard@asics.ws                         ----
5
----                                                             ----
6
---- This source file may be used and distributed without        ----
7
---- restriction provided that this copyright statement is not   ----
8
---- removed from the file and that any derivative work contains ----
9
---- the original copyright notice and the associated disclaimer.----
10
----                                                             ----
11
----     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ----
12
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ----
13
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ----
14
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ----
15
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ----
16
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ----
17
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ----
18
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ----
19
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ----
20
---- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ----
21
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ----
22
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ----
23
---- POSSIBILITY OF SUCH DAMAGE.                                 ----
24
----                                                             ----
25
---------------------------------------------------------------------
26
-- Package containing i2c master byte controller component. Component
27
-- declaration separated into this file by jan@gaisler.com.
28
 
29
library ieee;
30
use ieee.std_logic_1164.all;
31
use ieee.numeric_std.all;
32
 
33
package i2coc is
34
  component i2c_master_byte_ctrl is
35
   port (
36
     clk    : in std_logic;
37
     rst    : in std_logic;   -- active high reset
38
     nReset : in std_logic;   -- asynchornous active low reset
39
                              -- (not used in GRLIB)
40
     ena    : in std_logic; -- core enable signal
41
 
42
     clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL
43
 
44
     -- input signals
45
     start,
46
     stop,
47
     read,
48
     write,
49
     ack_in : std_logic;
50
     din    : in std_logic_vector(7 downto 0);
51
 
52
     -- output signals
53
     cmd_ack  : out std_logic;
54
     ack_out  : out std_logic;
55
     i2c_busy : out std_logic;
56
     i2c_al   : out std_logic;
57
     dout     : out std_logic_vector(7 downto 0);
58
 
59
     -- i2c lines
60
     scl_i   : in std_logic;  -- i2c clock line input
61
     scl_o   : out std_logic; -- i2c clock line output
62
     scl_oen : out std_logic; -- i2c clock line output enable, active low
63
     sda_i   : in std_logic;  -- i2c data line input
64
     sda_o   : out std_logic; -- i2c data line output
65
     sda_oen : out std_logic  -- i2c data line output enable, active low
66
     );
67
  end component i2c_master_byte_ctrl;
68
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.