OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [inpad.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      inpad
20
-- File:        inpad.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: input pad with technology wrapper
23
------------------------------------------------------------------------------
24
 
25
library techmap;
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use techmap.gencomp.all;
29
use techmap.allpads.all;
30
 
31
entity inpad is
32
  generic (tech : integer := 0; level : integer := 0;
33
        voltage : integer := x33v; filter : integer := 0;
34
        strength : integer := 0);
35
  port (pad : in std_ulogic; o : out std_ulogic);
36
end;
37
 
38
architecture rtl of inpad is
39
begin
40
  gen0 : if has_pads(tech) = 0 generate
41
    o <= to_X01(pad) after 1 ns;
42
  end generate;
43
  xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3) or
44
        (tech = virtex4) or (tech = spartan3e) or (tech = virtex5)
45
  generate
46
    x0 : virtex_inpad generic map (level, voltage) port map (pad, o);
47
  end generate;
48
  axc : if (tech = axcel) or (tech = proasic) or (tech = apa3) generate
49
    x0 : axcel_inpad generic map (level, voltage) port map (pad, o);
50
  end generate;
51
  atc : if (tech = atc18s) generate
52
    x0 : atc18_inpad generic map (level, voltage) port map (pad, o);
53
  end generate;
54
  atcrh : if (tech = atc18rha) generate
55
    x0 : atc18rha_inpad generic map (level, voltage) port map (pad, o);
56
  end generate;
57
  um : if (tech = umc) generate
58
    x0 : umc_inpad generic map (level, voltage, filter) port map (pad, o);
59
  end generate;
60
  rhu : if (tech = rhumc) generate
61
    x0 : rhumc_inpad generic map (level, voltage, filter) port map (pad, o);
62
  end generate;
63
  ihp : if (tech = ihp25) generate
64
    x0 : ihp25_inpad generic map(level, voltage) port map(pad, o);
65
  end generate;
66
  ihprh : if (tech = ihp25rh) generate
67
    x0 : ihp25rh_inpad generic map(level, voltage) port map(pad, o);
68
  end generate;
69
  rh18t : if (tech = rhlib18t) generate
70
    x0 : rh_lib18t_inpad generic map (voltage, filter) port map(pad, o);
71
  end generate;
72
  ut025 : if (tech = ut25) generate
73
    x0 : ut025crh_inpad generic map (level, voltage, filter) port map(pad, o);
74
  end generate;
75
  pereg : if (tech = peregrine) generate
76
    x0 : peregrine_inpad generic map (level, voltage, filter, strength) port map(pad, o);
77
  end generate;
78
  eas : if (tech = easic90) generate
79
    x0 : nextreme_inpad generic map (level, voltage) port map (pad, o);
80
  end generate;
81
end;
82
 
83
library techmap;
84
library ieee;
85
use ieee.std_logic_1164.all;
86
use techmap.gencomp.all;
87
 
88
entity inpadv is
89
  generic (tech : integer := 0; level : integer := 0;
90
           voltage : integer := 0; width : integer := 1);
91
  port (
92
    pad : in  std_logic_vector(width-1 downto 0);
93
    o   : out std_logic_vector(width-1 downto 0));
94
end;
95
architecture rtl of inpadv is
96
begin
97
  v : for i in width-1 downto 0 generate
98
    x0 : inpad generic map (tech, level, voltage) port map (pad(i), o(i));
99
  end generate;
100
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.