OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [proasic3/] [tap_proasic3.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------   
19
-- Entity:      tap_proasic3
20
-- File:        tap_proasic3.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: Actel Proasic3 TAP controller wrapper
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
-- pragma translate_off
28
library proasic3;
29
-- pragma translate_on
30
 
31
entity proasic3_tap is
32
port (
33
     tck         : in std_ulogic;
34
     tms         : in std_ulogic;
35
     tdi         : in std_ulogic;
36
     trst        : in std_ulogic;
37
     tdo         : out std_ulogic;
38
     tapi_tdo1   : in std_ulogic;
39
     tapi_tdo2   : in std_ulogic;
40
     tapi_en1    : in std_ulogic;
41
     tapo_tck    : out std_ulogic;
42
     tapo_tdi    : out std_ulogic;
43
     tapo_rst    : out std_ulogic;
44
     tapo_capt   : out std_ulogic;
45
     tapo_shft   : out std_ulogic;
46
     tapo_upd    : out std_ulogic;
47
     tapo_inst   : out std_logic_vector(7 downto 0)
48
    );
49
end;
50
 
51
architecture rtl of proasic3_tap is
52
 
53
 component UJTAG
54
   port(
55
      UTDO           :  in    STD_ULOGIC;
56
      TMS            :  in    STD_ULOGIC;
57
      TDI            :  in    STD_ULOGIC;
58
      TCK            :  in    STD_ULOGIC;
59
      TRSTB          :  in    STD_ULOGIC;
60
      UIREG0         :  out   STD_ULOGIC;
61
      UIREG1         :  out   STD_ULOGIC;
62
      UIREG2         :  out   STD_ULOGIC;
63
      UIREG3         :  out   STD_ULOGIC;
64
      UIREG4         :  out   STD_ULOGIC;
65
      UIREG5         :  out   STD_ULOGIC;
66
      UIREG6         :  out   STD_ULOGIC;
67
      UIREG7         :  out   STD_ULOGIC;
68
      UTDI           :  out   STD_ULOGIC;
69
      URSTB          :  out   STD_ULOGIC;
70
      UDRCK          :  out   STD_ULOGIC;
71
      UDRCAP         :  out   STD_ULOGIC;
72
      UDRSH          :  out   STD_ULOGIC;
73
      UDRUPD         :  out   STD_ULOGIC;
74
      TDO            :  out   STD_ULOGIC);
75
 end component;
76
 
77
 signal gnd, tdoi, rsti : std_ulogic;
78
 
79
begin
80
 
81
  gnd <= '0';
82
 
83
  tdoi <= tapi_tdo1 when tapi_en1 = '1' else tapi_tdo2;
84
  tapo_rst <= not rsti;
85
 
86
  u0 : UJTAG port map (
87
    UTDO    => tdoi,
88
    TMS     => tms,
89
    TDI     => tdi,
90
    TCK     => tck,
91
    TRSTB   => trst,
92
    UIREG0  => tapo_inst(0),
93
    UIREG1  => tapo_inst(1),
94
    UIREG2  => tapo_inst(2),
95
    UIREG3  => tapo_inst(3),
96
    UIREG4  => tapo_inst(4),
97
    UIREG5  => tapo_inst(5),
98
    UIREG6  => tapo_inst(6),
99
    UIREG7  => tapo_inst(7),
100
    UTDI    => tapo_tdi,
101
    URSTB   => rsti,
102
    UDRCK   => tapo_tck,
103
    UDRCAP  => tapo_capt,
104
    UDRSH   => tapo_shft,
105
    UDRUPD  => tapo_upd,
106
    TDO     => tdo);
107
 
108
 
109
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.