OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [DM_cnt_core.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:     Lazaridis Dimitris
4
-- 
5
-- Create Date:    22:36:33 06/22/2012 
6
-- Design Name: 
7
-- Module Name:    DM_cnt_core - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity DM_cnt_core is
33
port (
34
      --clk : in std_logic;
35
      --From_Alu : in std_logic_vector(31 downto 0);
36
                --op_code: in std_logic_vector(5 downto 0);
37
                MemRead: in std_logic;
38
                MemWrite : in std_logic;
39
                --IorD : in std_logic;
40
           --E : out std_logic_vector(1 downto 0);
41
                We_c : out std_logic_vector(3 downto 0);
42
                Re_c : out std_logic_vector(3 downto 0);
43
                Ssr_c: out std_logic_vector(3 downto 0)
44
);
45
end DM_cnt_core;
46
 
47
 
48
architecture Behavioral of DM_cnt_core is
49
begin
50
         --process(clk,MemRead,MemWrite)
51
        -- begin                        
52
                --      if Falling_edge(clk) then
53
                        Re_c(3 downto 0) <= MemRead & MemRead & MemRead & MemRead;
54
                        We_c(3 downto 0) <= MemWrite & MemWrite & MemWrite & MemWrite;
55
 
56
                        Ssr_c <=(others => '0');
57
                        --end if;
58
 
59
                --      end process;
60
 
61
 
62
                --      case op_code is
63
       --         when LB =>
64
      --          case From_Alu(1 downto 0) is
65
     --           when "00" =>
66
     --            Re_c(3 downto 0) <= "0001";
67
     --           when "01" =>
68
      --           Re_c(3 downto 0) <= "0010";
69
    --            when "10" =>
70
    --             Re_c(3 downto 0) <= "0100";
71
     --           when "11" =>
72
    --             Re_c(3 downto 0) <= "1000";
73
    --            when others =>
74
   --              Re_c(3 downto 0) <= "0000";  -- we -> 0;
75
  --              end case;                                       
76
        --                     when others =>
77
        --                                Re_c(3 downto 0) <= "0000";
78
        --                               end case; 
79
 
80
end Behavioral;
81
 
82
 
83
 
84
 
85
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.