OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [DMcontrol.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    22:44:55 06/21/2012 
6
-- Design Name: 
7
-- Module Name:    DMcontrol - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity DMcontrol is
33
port (
34
      --clk : in std_logic;
35
                --From_Alu : in std_logic_vector(31 downto 0);
36
                --op_code: in std_logic_vector(5 downto 0);
37
                MemRead: in std_logic;
38
                MemWrite : in std_logic;
39
                --IorD : in std_logic;
40
                --E : out std_logic_vector(1 downto 0);
41
                We_c : out std_logic_vector(3 downto 0);
42
                Re_c :out std_logic_vector(3 downto 0);
43
                Ssr_c:out std_logic_vector(3 downto 0)
44
                );
45
end DMcontrol;
46
 
47
architecture Behavioral of DMcontrol is
48
 
49
component DM_cnt_core is
50
port (
51
      --clk : in std_logic;
52
                --From_Alu : in std_logic_vector(31 downto 0);
53
                --op_code: in std_logic_vector(5 downto 0);
54
                MemRead: in std_logic;
55
                MemWrite : in std_logic;
56
                --IorD : in std_logic;
57
                --E : out std_logic_vector(1 downto 0);
58
                We_c : out std_logic_vector(3 downto 0);
59
                Re_c :out std_logic_vector(3 downto 0);
60
                Ssr_c:out std_logic_vector(3 downto 0)
61
);
62
end component;
63
begin
64
DMcontr_d:DM_cnt_core port map (MemRead=>MemRead,MemWrite=>MemWrite,
65
                                We_c=>We_c,Re_c=>Re_c,Ssr_c=>Ssr_c);
66
 
67
end Behavioral;
68
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.