OpenCores
URL https://opencores.org/ocsvn/mipsr2000/mipsr2000/trunk

Subversion Repositories mipsr2000

[/] [mipsr2000/] [trunk/] [RAMB16_S9_0.vhd] - Blame information for rev 37

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 jimi39
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:    Lazaridis Dimitris
4
-- 
5
-- Create Date:    22:46:06 06/13/2012 
6
-- Design Name: 
7
-- Module Name:    RAMB16_S9_0 - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
Library UNISIM;
25
use UNISIM.vcomponents.all;
26
 
27
-- Uncomment the following library declaration if using
28
-- arithmetic functions with Signed or Unsigned values
29
--use IEEE.NUMERIC_STD.ALL;
30
 
31
-- Uncomment the following library declaration if instantiating
32
-- any Xilinx primitives in this code.
33
--library UNISIM;
34
--use UNISIM.VComponents.all;
35
 
36
entity RAMB16_S9_0 is
37
port (
38
       clk : in std_logic;
39
       we : in std_logic;
40
       en : in std_logic;
41
       ssr : in std_logic;
42
       addr : in std_logic_vector(10 downto 0);
43
       di : in std_logic_vector (7 downto 0);
44
       do : out std_logic_vector(7 downto 0)
45
                );
46
end RAMB16_S9_0;
47
 
48
architecture Behavioral of RAMB16_S9_0 is
49
signal clk_inv : std_logic;
50
begin
51
     process(clk)
52
          variable invert : std_logic;
53
          begin
54
          invert := not clk;
55
          clk_inv <= invert;
56
          end process;
57
 
58
     RAMB16_S9_inst : RAMB16_S9
59
   generic map (
60
      INIT => X"000", --  Value of output RAM registers at startup
61
      SRVAL => X"000", --  Ouput value upon SSR assertion
62
      WRITE_MODE => "WRITE_FIRST", --  WRITE_FIRST, READ_FIRST or NO_CHANGE
63
      -- The following INIT_xx declarations specify the initial contents of the RAM
64
      -- Address 0 to 511
65
      INIT_00 => X"DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD0DDDDDDDDDDDDDDDDD",
66
      INIT_01 => X"DD0000D0DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD",
67
      INIT_02 => X"DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD",
68
      INIT_03 => X"DFDDDDDFDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDFDDDDDF",
69
      INIT_04 => X"DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD",
70
      INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
71
      INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
72
      INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
73
      INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
74
      INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
75
      INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
76
      INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
77
      INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
78
      INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
79
      INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
80
      INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
81
      -- Address 512 to 1023
82
      INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
83
      INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
84
      INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
85
      INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
86
      INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
87
      INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
88
      INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
89
      INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
90
      INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
91
      INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
92
      INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
93
      INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
94
      INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
95
      INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
96
      INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
97
      INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
98
      -- Address 1024 to 1535
99
      INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
100
      INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
101
      INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
102
      INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
103
      INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
104
      INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
105
      INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
106
      INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
107
      INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
108
      INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
109
      INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
110
      INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
111
      INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
112
      INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
113
      INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
114
      INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
115
      -- Address 1536 to 2047
116
      INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
117
      INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
118
      INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
119
      INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
120
      INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
121
      INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
122
      INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
123
      INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
124
      INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
125
      INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
126
      INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
127
      INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
128
      INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
129
      INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
130
      INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
131
      INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
132
      -- The next set of INITP_xx are for the parity bits
133
      -- Address 0 to 511
134
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
135
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
136
      -- Address 512 to 1023
137
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
138
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
139
      -- Address 1024 to 1535
140
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
141
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
142
      -- Address 1536 to 2047
143
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
144
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000")
145
   port map (
146
      DO => DO,      -- 8-bit Data Output
147
      DOP => open,    -- 1-bit parity Output
148
      ADDR => ADDR,  -- 11-bit Address Input
149
      CLK => clk_inv,   --CLK,    -- Clock
150
      DI => DI,      -- 8-bit Data Input
151
      DIP => "1",    -- 1-bit parity Input
152
      EN => EN,      -- RAM Enable Input
153
      SSR => SSR,    -- Synchronous Set/Reset Input
154
      WE => WE       -- Write Enable Input
155
   );
156
 
157
   -- End of RAMB16_S9_inst instantiation
158
 
159
 
160
 
161
 
162
end Behavioral;
163
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.