OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn.vhd] - Blame information for rev 54

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 budinero
----------------------------------------------------------------------------------------------------
2
--| Modular Oscilloscope
3
--| UNSL - Argentine
4 10 budinero
--|
5 19 budinero
--| File: eppwbn.vhd
6
--| Version: 0.1
7
--| Tested in: Actel APA300
8 42 budinero
--| Tested in: Actel A3PE1500
9
--|   Board: RVI Prototype Board + LP Data Conversion Daughter Board
10 19 budinero
--|-------------------------------------------------------------------------------------------------
11 10 budinero
--| Description:
12
--|   EPP - Wishbone bridge. 
13 19 budinero
--|   The top module for 8 bit wisbone data bus.
14
--|-------------------------------------------------------------------------------------------------
15 10 budinero
--| File history:
16 19 budinero
--|   0.10  | feb-2009 | Working
17
----------------------------------------------------------------------------------------------------
18 42 budinero
--| Copyright © 2008, Facundo Aguilera.
19 14 budinero
--|
20
--| This VHDL design file is an open design; you can redistribute it and/or
21
--| modify it and/or implement it after contacting the author.
22 10 budinero
 
23 19 budinero
--| Wishbone Rev. B.3 compatible
24
----------------------------------------------------------------------------------------------------
25 10 budinero
 
26 19 budinero
 
27
 
28 5 budinero
-- Bloque completo
29
 
30 10 budinero
library IEEE;
31
use IEEE.STD_LOGIC_1164.all;
32 22 budinero
use work.eppwbn_pkg.all;
33 10 budinero
 
34 6 budinero
entity eppwbn is
35 5 budinero
port(
36 22 budinero
 
37
  -- TEMPORAL
38 50 budinero
  --epp_mode_monitor: out std_logic_vector (1 downto 0);
39 22 budinero
 
40 5 budinero
        -- Externo
41 10 budinero
        nStrobe: in std_logic;                                                                                  -- Nomenclatura IEEE Std. 1284 
42
                                                                                                                                                                                        -- HostClk/nWrite 
43
        Data: inout std_logic_vector (7 downto 0);       -- AD8..1 (Data1..Data8)
44
        nAck: out std_logic;                                                                                            --  PtrClk/PeriphClk/Intr
45
        busy: out std_logic;                                                                                            --  PtrBusy/PeriphAck/nWait
46
        PError: out std_logic;                                                                                  --  AckData/nAckReverse
47
        Sel: out std_logic;                                                                                             --  XFlag (Select)
48
        nAutoFd: in std_logic;                                                                                  --  HostBusy/HostAck/nDStrb
49
        PeriphLogicH: out std_logic;                                                            --  (Periph Logic High)
50
        nInit: in std_logic;                                                                                            --  nReverseRequest
51
        nFault: out std_logic;                                                                                  --  nDataAvail/nPeriphRequest
52
        nSelectIn: in std_logic;                                                                                --  1284 Active/nAStrb
53 19 budinero
 
54 5 budinero
        --  Interno
55
        RST_I: in std_logic;
56
        CLK_I: in std_logic;
57 10 budinero
        DAT_I: in std_logic_vector (7 downto 0);
58
        DAT_O: out std_logic_vector (7 downto 0);
59
        ADR_O: out std_logic_vector (7 downto 0);
60
        CYC_O: out std_logic;
61
        STB_O: out std_logic;
62
        ACK_I: in std_logic ;
63 19 budinero
        WE_O: out std_logic
64 5 budinero
        );
65
end eppwbn;
66
 
67
 
68 10 budinero
architecture structural of eppwbn is
69
  ------------------------------------------------------------------------------
70
        -- Señales
71
        signal s_epp_mode: std_logic_vector (1 downto 0);
72
  signal s_rst_pp: std_logic;
73 9 budinero
 
74 10 budinero
  signal s_wb_Busy:       std_logic;
75
  signal s_wb_nAutoFd:    std_logic;
76
  signal s_wb_nSelectIn:  std_logic;
77
  signal s_wb_nStrobe:    std_logic;
78
 
79
  signal s_ctr_nAck:   std_logic;
80
  signal s_ctr_PError: std_logic;
81
  signal s_ctr_Sel:    std_logic;
82
  signal s_ctr_nFault: std_logic;
83 9 budinero
 
84 10 budinero
  signal s_ctr_nAutoFd:    std_logic;
85
  signal s_ctr_nSelectIn:  std_logic;
86
  signal s_ctr_nStrobe:    std_logic;
87 9 budinero
 
88 6 budinero
begin
89 22 budinero
 
90
  -- TEMPORAL
91 50 budinero
  --epp_mode_monitor <= s_epp_mode;
92 22 budinero
 
93
 
94 10 budinero
        -- Conexión del módulo de control
95 54 budinero
        U_EPPCTRL:  eppwbn_ctrl
96 10 budinero
                port map (
97
                        nStrobe => s_ctr_nStrobe,
98
                        Data => Data,
99
                        nAck => s_ctr_nAck,
100
                        PError => s_ctr_PError,
101
                        Sel => s_ctr_Sel,
102
                        nAutoFd => s_ctr_nAutoFd,
103
                        PeriphLogicH => PeriphLogicH,
104
                        nInit => nInit,
105
                        nFault => s_ctr_nFault,
106
                        nSelectIn => s_ctr_nSelectIn,
107
 
108
                        RST_I => RST_I,
109
                        CLK_I => CLK_I,
110
 
111
                        rst_pp => s_rst_pp,
112
                        epp_mode => s_epp_mode
113
        );
114 6 budinero
 
115 14 budinero
        -- Conexión de módulo multiplexor
116 54 budinero
        U_EPPPORT:  eppwbn_epp_side
117 10 budinero
                port map (
118
                        epp_mode => s_epp_mode,
119
 
120
                        ctr_nAck => s_ctr_nAck,
121
                        ctr_PError => s_ctr_PError,
122
                        ctr_Sel => s_ctr_Sel,
123
                        ctr_nFault => s_ctr_nFault,
124
 
125
                        ctr_nAutoFd => s_ctr_nAutoFd,
126
                        ctr_nSelectIn => s_ctr_nSelectIn,
127
                        ctr_nStrobe=> s_ctr_nStrobe,
128
 
129
                        wb_Busy => s_wb_Busy,
130
                        wb_nAutoFd => s_wb_nAutoFd,
131
                        wb_nSelectIn => s_wb_nSelectIn,
132
                        wb_nStrobe => s_wb_nStrobe,
133
 
134
                        nAck => nAck,
135
                        PError => PError,
136
                        Sel => Sel,
137
                        nFault => nFault,
138
 
139
                        Busy => Busy,
140
                        nAutoFd => nAutoFd,
141
                        nSelectIn => nSelectIn,
142
                        nStrobe => nStrobe
143
        );
144
 
145
        -- Conexión del módulo de comunicación con interfaz wishbone
146 54 budinero
        U_EPPWBN:  eppwbn_wbn_side
147 10 budinero
                port map(
148
                        inStrobe => s_wb_nStrobe,
149
                        iData => Data,
150
                        iBusy => s_wb_Busy,
151
                        inAutoFd => s_wb_nAutoFd,
152
                        inSelectIn => s_wb_nSelectIn,
153
 
154
                        RST_I => RST_I,
155
                        CLK_I => CLK_I,
156
                        DAT_I => DAT_I,
157
                        DAT_O => DAT_O,
158
                        ADR_O => ADR_O,
159
                        CYC_O => CYC_O,
160
                        STB_O => STB_O,
161
                        ACK_I => ACK_I,
162
                        WE_O => WE_O,
163
 
164
                        rst_pp => s_rst_pp
165
                );
166
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.