OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [memory/] [memory_pkg.vhd] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 56 budinero
-------------------------------------------------------------------------------------------------100
2
--| Modular Oscilloscope
3
--| UNSL - Argentine
4
--|
5
--| File: memory_pkg.vhd
6
--| Version: 0.1
7
--| Tested in: Actel A3PE1500
8
--|   Board: RVI Prototype Board + LP Data Conversion Daughter Board
9
--|-------------------------------------------------------------------------------------------------
10
--| Description:
11
--|   Memories - Package
12
--|   Package for instantiate Control modules.
13
--|   
14
--|-------------------------------------------------------------------------------------------------
15
--| File history:
16
--|   0.1  | aug-2009 | First release
17
----------------------------------------------------------------------------------------------------
18
--| Copyright © 2009, Facundo Aguilera (budinero at gmail.com).
19
--|
20
--| This VHDL design file is an open design; you can redistribute it and/or
21
--| modify it and/or implement it after contacting the author.
22
----------------------------------------------------------------------------------------------------
23
 
24
 
25
 
26
-- Bloque completo
27
library IEEE;
28
use IEEE.STD_LOGIC_1164.ALL;
29
use ieee.math_real.all;
30
 
31
package memory_pkg is
32
  --------------------------------------------------------------------------------------------------
33
  -- Componentes  
34
 
35
  component dual_port_memory_wb is
36
    port(
37
      -- Puerto A (Higer prioriry)
38
      RST_I_a: in std_logic;
39
      CLK_I_a: in std_logic;
40
      DAT_I_a: in std_logic_vector (15 downto 0);
41
      DAT_O_a: out std_logic_vector (15 downto 0);
42
      ADR_I_a: in std_logic_vector (13 downto 0);
43
      CYC_I_a: in std_logic;
44
      STB_I_a: in std_logic;
45
      ACK_O_a: out std_logic ;
46
      WE_I_a: in std_logic;
47
 
48
 
49
      -- Puerto B (Lower prioriry)
50
      RST_I_b: in std_logic;
51
      CLK_I_b: in std_logic;
52
      DAT_I_b: in std_logic_vector (15 downto 0);
53
      DAT_O_b: out std_logic_vector (15 downto 0);
54
      ADR_I_b: in std_logic_vector (13 downto 0);
55
      CYC_I_b: in std_logic;
56
      STB_I_b: in std_logic;
57
      ACK_O_b: out std_logic ;
58
      WE_I_b: in std_logic
59
    );
60
  end component dual_port_memory_wb;
61
 
62
end package memory_pkg;
63
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.