OpenCores
URL https://opencores.org/ocsvn/natalius_8bit_risc/natalius_8bit_risc/trunk

Subversion Repositories natalius_8bit_risc

[/] [natalius_8bit_risc/] [trunk/] [mem_video.v] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 fabioandre
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company:       Universidad Pontificia Bolivariana
4
// Engineer:      Fabio Andres Guzman Figueroa
5
// 
6
// Create Date:    11:47:57 05/17/2012 
7
// Design Name: 
8
// Module Name:    mem_video 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module mem_video(
22
    input clk,
23
         input we,
24
    input [12:0] addr_write,
25
    input [12:0] addr_read,
26
    input [3:0] din,
27
    output reg [3:0] dout
28
    );
29
 
30
        (* RAM_STYLE="BLOCK" *)
31
         reg [3:0] ram_video [8191:0];
32
 
33
 
34
   always @(posedge clk)
35
                begin
36
                        if (we)
37
                                ram_video[addr_write] <= din;
38
                        dout <= ram_video[addr_read];
39
                end
40
 
41
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.