OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 56

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo_dark.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 43 zero_gravi
[![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22)
6 52 zero_gravi
[![riscv-arch-test](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml/badge.svg)](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml)
7 2 zero_gravi
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
8
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
9
 
10 32 zero_gravi
* [Overview](#Overview)
11 47 zero_gravi
* [Status](#Status)
12 2 zero_gravi
* [Features](#Features)
13
* [FPGA Implementation Results](#FPGA-Implementation-Results)
14
* [Performance](#Performance)
15 30 zero_gravi
* [Top Entities](#Top-Entities)
16 2 zero_gravi
* [**Getting Started**](#Getting-Started)
17 40 zero_gravi
* [Contribute/Feedback/Questions](#ContributeFeedbackQuestions)
18 2 zero_gravi
* [Legal](#Legal)
19
 
20
 
21
 
22 32 zero_gravi
## Overview
23 2 zero_gravi
 
24 54 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
25
 
26 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
27 56 zero_gravi
on the RISC-V NEORV32 CPU. The processor is intended as auxiliary processor in larger SoC
28 55 zero_gravi
designs or as *ready-to-go* stand-alone custom microcontroller.
29 2 zero_gravi
 
30 51 zero_gravi
:books: For detailed information take a look at the [NEORV32 data sheet (pdf)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
31
The doxygen-based documentation of the *software framework* is available online at [GitHub-pages](https://stnolting.github.io/neorv32/files.html).
32 45 zero_gravi
 
33 51 zero_gravi
:label: The project’s change log is available as [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) in the root directory of this repository.
34
To see the changes between *stable* releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
35 11 zero_gravi
 
36 56 zero_gravi
:rocket: The [`boards`](https://github.com/stnolting/neorv32/tree/master/boards) folder provides exemplary setups targeting various FPGA boards to get you started.
37
 
38 52 zero_gravi
:spiral_notepad: Check out the [project boards](https://github.com/stnolting/neorv32/projects) for a list of current **ideas**,
39
**TODOs**, features being **planned** and **work-in-progress**.
40 40 zero_gravi
 
41 51 zero_gravi
:bulb: Feel free to open a [new issue](https://github.com/stnolting/neorv32/issues) or start a [new discussion](https://github.com/stnolting/neorv32/discussions)
42
if you have questions, comments, ideas or bug-fixes. Check out how to [contribute](#ContributeFeedbackQuestions).
43 47 zero_gravi
 
44 51 zero_gravi
 
45 32 zero_gravi
### Key Features
46 2 zero_gravi
 
47 52 zero_gravi
* RISC-V 32-bit `rv32` [**NEORV32 CPU**](#NEORV32-CPU-Features), compatible to
48 51 zero_gravi
  * subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
49
  * subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
50 52 zero_gravi
  * the [official RISC-V architecture tests](#Status) (*passing*)
51
* Configurable RISC-V-compatible CPU extensions
52 51 zero_gravi
  * [`A`](#A---Atomic-memory-access-extension) - atomic memory access instructions (optional)
53 52 zero_gravi
  * [`B`](#B---Bit-manipulation-instructions-extension) - Bit manipulation instructions (optional) :construction:
54 51 zero_gravi
  * [`C`](#C---Compressed-instructions-extension) - compressed instructions (16-bit) (optional)
55
  * [`E`](#E---Embedded-CPU-version-extension) - embedded CPU (reduced register file size) (optional)
56
  * [`I`](#I---Base-integer-instruction-set) - base integer instruction set (always enabled)
57
  * [`M`](#M---Integer-multiplication-and-division-hardware-extension) - integer multiplication and division hardware (optional)
58
  * [`U`](#U---Privileged-architecture---User-mode-extension) - less-privileged *user mode* (optional)
59
  * [`X`](#X---NEORV32-specific-CPU-extensions) - NEORV32-specific extensions (always enabled)
60 55 zero_gravi
  * [`Zfinx`](#Zfinx---Single-precision-floating-point-extension) - Single-precision floating-point extensions (optional)
61 51 zero_gravi
  * [`Zicsr`](#Zicsr---Privileged-architecture---CSR-access-extension) - control and status register access instructions (+ exception/irq system) (optional)
62 56 zero_gravi
  * [`Zifencei`](#Zifencei---Instruction-stream-synchronization-extension) - instruction stream synchronization (optional)
63 51 zero_gravi
  * [`PMP`](#PMP---Privileged-architecture---Physical-memory-protection) - physical memory protection (optional)
64
  * [`HPM`](#HPM---Privileged-architecture---Hardware-performance-monitors) - hardware performance monitors (optional)
65 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
66 41 zero_gravi
  * optional embedded memories (instructions/data/bootloader, RAM/ROM) and caches
67 52 zero_gravi
  * timers (watch dog, RISC-V-compatible machine timer)
68 50 zero_gravi
  * serial interfaces (SPI, TWI, UARTs)
69 47 zero_gravi
  * general purpose IO and PWM channels
70 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
71 53 zero_gravi
  * dedicated NeoPixel(TM) LED interface
72 48 zero_gravi
  * subsystem for custom co-processors
73 37 zero_gravi
  * [more ...](#NEORV32-Processor-Features)
74 36 zero_gravi
* Software framework
75 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
76
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
77 46 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv-gcc-prebuilt))
78 45 zero_gravi
  * bootloader with UART interface console
79 36 zero_gravi
  * runtime environment
80
  * several example programs
81 55 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/Doxyfile) software documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
82 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
83 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
84 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
85
* Fully synchronous design, no latches, no gated clocks
86
* Small hardware footprint and high operating frequency
87 15 zero_gravi
 
88 22 zero_gravi
 
89 2 zero_gravi
### Design Principles
90
 
91 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
92 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
93
 * Easy to use – working out of the box.
94
 * Clean synchronous design, no wacky combinatorial interfaces.
95 51 zero_gravi
 * Be as small as possible – but with a reasonable size-performance trade-off.
96 40 zero_gravi
 * Be as RISC-V-compliant as possible.
97 51 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k low-power FPGA running at 22+ MHz.
98 2 zero_gravi
 
99
 
100 36 zero_gravi
### Status
101 3 zero_gravi
 
102 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
103 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
104 2 zero_gravi
 
105 52 zero_gravi
**RISC-V Architecture Tests**: The processor passes the official `rv32_m/C`, `rv32_m/I`, `rv32_m/M`, `rv32_m/privilege` and `rv32_m/Zifencei`
106
[riscv-arch-test](https://github.com/riscv/riscv-arch-test) tests. More information regarding the NEORV32 port of the riscv-arch-test test framework can be found in
107
[`riscv-arch-test/README.md`](https://github.com/stnolting/neorv32/blob/master/riscv-arch-test/README.md).
108 2 zero_gravi
 
109 43 zero_gravi
| Project component | CI status |
110
|:----------------- |:----------|
111 52 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                                              | [![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22) |
112
| [SW Framework Documentation (online at GH-pages)](https://stnolting.github.io/neorv32/files.html)        | [![Doc@GitHub-pages](https://github.com/stnolting/neorv32/workflows/Deploy%20SW%20Framework%20Documentation%20to%20GitHub-Pages/badge.svg)](https://stnolting.github.io/neorv32/files.html) |
113
| [Pre-built toolchains](https://github.com/stnolting/riscv-gcc-prebuilt)                                | [![Test Toolchains](https://github.com/stnolting/riscv-gcc-prebuilt/workflows/Test%20Toolchains/badge.svg)](https://github.com/stnolting/riscv-gcc-prebuilt/actions?query=workflow%3A%22Test+Toolchains%22) |
114
| [RISC-V architecture test](https://github.com/stnolting/neorv32/blob/master/riscv-arch-test/README.md) | [![riscv-arch-test](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml/badge.svg)](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml) |
115 6 zero_gravi
 
116
 
117 2 zero_gravi
## Features
118
 
119 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
120 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
121 31 zero_gravi
 
122 44 zero_gravi
 
123 36 zero_gravi
### NEORV32 Processor Features
124 2 zero_gravi
 
125 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
126 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
127 2 zero_gravi
 
128 41 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**) & cache (**iCACHE**)
129
* bootloader (**BOOTLDROM**) with UART console and automatic application boot from SPI flash option
130 52 zero_gravi
* machine system timer (**MTIME**), RISC-V-compatible
131 37 zero_gravi
* watchdog timer (**WDT**)
132 51 zero_gravi
* two independent universal asynchronous receivers and transmitters (**UART0** & **UART1**) with optional hardware flow control (RTS/CTS)
133 37 zero_gravi
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
134
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
135
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
136 52 zero_gravi
* 32-bit external bus interface, Wishbone b4 compatible (**WISHBONE**)
137 37 zero_gravi
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
138
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
139 47 zero_gravi
* ring-oscillator-based true random number generator (**TRNG**)
140
* custom functions subsystem (**CFS**) for tightly-coupled custom co-processor extensions
141 49 zero_gravi
* numerically-controlled oscillator (**NCO**) with three independent channels
142 52 zero_gravi
* smart LED interface (**NEOLED**) - WS2812 / NeoPixel(c) compatible
143 51 zero_gravi
* system configuration information memory to check hardware configuration by software (**SYSINFO**)
144 23 zero_gravi
 
145 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
146 44 zero_gravi
 
147 56 zero_gravi
 
148 36 zero_gravi
### NEORV32 CPU Features
149 2 zero_gravi
 
150 52 zero_gravi
The NEORV32 CPU implements the
151 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
152 40 zero_gravi
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf)
153 52 zero_gravi
- tested via the [official riscv-arch-test Test Framework](https://github.com/riscv/riscv-arch-test)
154
(see [`riscv-arch-test/README`](https://github.com/stnolting/neorv32/blob/master/riscv-arch-test/README.md)).
155 2 zero_gravi
 
156 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
157 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
158 11 zero_gravi
 
159
 
160 47 zero_gravi
#### General Features
161
 
162 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
163 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
164 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
165 40 zero_gravi
  * BIG-ENDIAN byte-order, processor's external memory interface allows endianness configuration to connect to system with different endianness
166 23 zero_gravi
  * All reserved or unimplemented instructions will raise an illegal instruction exception
167 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
168 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
169 11 zero_gravi
 
170 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
171 11 zero_gravi
 
172 56 zero_gravi
 
173 51 zero_gravi
#### `A` - Atomic memory access extension
174 2 zero_gravi
 
175 47 zero_gravi
  * Supported instructions: `LR.W` (load-reservate) `SC.W` (store-conditional)
176
 
177 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
178 47 zero_gravi
 
179 56 zero_gravi
 
180 51 zero_gravi
#### `B` - Bit manipulation instructions extension
181 47 zero_gravi
 
182 53 zero_gravi
  * :construction: **work-in-progress** :construction:
183
  * :warning: this extension has not been officially ratified yet!
184
  * :books: more information can be found here: [RISC-V `B` spec.](https://github.com/riscv/riscv-bitmanip)
185 47 zero_gravi
  * Compatible to [v0.94-draft](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/bitmanip-draft.pdf) of the bit manipulation spec
186 55 zero_gravi
  * Software support via intrinsic library (see [`sw/example/bit_manipulation`](https://github.com/stnolting/neorv32/tree/master/sw/example/bit_manipulation))
187 52 zero_gravi
  * `Zbb` base instruction set: `CLZ` `CTZ` `CPOP` `SEXT.B` `SEXT.H` `MIN[U]` `MAX[U]` `ANDN` `ORN` `XNOR` `ROL` `ROR[I]` `zext`(*pseudo-instruction* for `PACK rd, rs, zero`) `rev8`(*pseudo-instruction* for `GREVI rd, rs, -8`) `orc.b`(*pseudo-instruction* for `GORCI rd, rs, 7`)
188
  * `Zbs` single-bit instructions: `SBSET[I]` `SBCLR[I]` `SBINV[I]` `SBEXT[I]`
189 53 zero_gravi
  * `Zba` shifted-add instructions: `SH1ADD` `SH2ADD` `SH3ADD`
190 47 zero_gravi
 
191 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
192 47 zero_gravi
 
193 56 zero_gravi
 
194 51 zero_gravi
#### `C` - Compressed instructions extension
195 47 zero_gravi
 
196 51 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADD[I]` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
197 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
198 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
199 52 zero_gravi
  * System instructions: `C.EBREAK` (requires `Zicsr` extension)
200 40 zero_gravi
  * Pseudo-instructions are not listed
201 2 zero_gravi
 
202 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
203
 
204
 
205 51 zero_gravi
#### `E` - Embedded CPU version extension
206 47 zero_gravi
 
207 52 zero_gravi
  * Reduced register file (only the 16 lowest registers are implemented)
208 2 zero_gravi
 
209 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
210 47 zero_gravi
 
211 56 zero_gravi
 
212 51 zero_gravi
#### `I` - Base integer instruction set
213 47 zero_gravi
 
214 51 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADD[I]` `SLT[I][U]` `XOR[I]` `OR[I]` `AND[I]` `SLL[I]` `SRL[I]` `SRA[I]` `SUB`
215 47 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
216
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
217
  * System instructions: `ECALL` `EBREAK` `FENCE`
218
  * Pseudo-instructions are not listed
219
 
220 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
221 47 zero_gravi
 
222 56 zero_gravi
 
223 51 zero_gravi
#### `M` - Integer multiplication and division hardware extension
224 47 zero_gravi
 
225 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
226
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
227 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
228
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
229 2 zero_gravi
 
230 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
231 39 zero_gravi
 
232 56 zero_gravi
 
233 51 zero_gravi
#### `U` - Privileged architecture - User mode extension
234 44 zero_gravi
 
235 47 zero_gravi
  * Requires `Zicsr` extension
236
  * Privilege levels: `M` (machine mode) + less-privileged `U` (user mode)
237
 
238 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
239 47 zero_gravi
 
240 56 zero_gravi
 
241 51 zero_gravi
#### `X` - NEORV32-specific CPU extensions
242 47 zero_gravi
 
243
* The NEORV32-specific extensions are always enabled and are indicated via the `X` bit set in the `misa` CSR.
244 48 zero_gravi
* 16 *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
245 47 zero_gravi
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
246
* All undefined/umimplemented/malformed/illegal instructions do raise an illegal instruction exception
247
 
248 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
249 47 zero_gravi
 
250 56 zero_gravi
 
251 55 zero_gravi
#### `Zfinx` - Single-precision floating-point extension
252 53 zero_gravi
 
253
  * :warning: this extension has not been officially ratified yet!
254
  * :books: more information can be found here: [RISC-V `Zfinx` spec.](https://github.com/riscv/riscv-zfinx)
255 55 zero_gravi
  * Software support via intrinsic library (see [`sw/example/floating_point_test`](https://github.com/stnolting/neorv32/tree/master/sw/example/floating_point_test))
256
  * Fused multiply-add instructions (`F[N]MADD.S` & `F[N)MSUB.S`) are **not** supported!
257
  * Computational instructions: `FADD.S` `FSUB.S` `FMUL.S` `FSGNJ[N/X].S` `FCLASS.S` ~~`FDIV.S`~~ ~~`FSQRT.S`~~
258
  * Comparison instructions: `FMIN.S` `FMAX.S` `FEQ.S` `FLT.S` `FLE.S`
259
  * Conversion instructions: `FCVT.W.S` `FCVT.WU.S` `FCVT.S.W` `FCVT.S.WU`
260
  * Additional CSRs: `fcsr` `frm` `fflags`
261 56 zero_gravi
 
262
[[back to top](#The-NEORV32-RISC-V-Processor)]
263 55 zero_gravi
 
264 53 zero_gravi
 
265 51 zero_gravi
#### `Zicsr` - Privileged architecture - CSR access extension
266 47 zero_gravi
 
267 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
268 51 zero_gravi
  * CSR access instructions: `CSRRW[I]` `CSRRS[I]` `CSRRC[I]`
269 8 zero_gravi
  * System instructions: `MRET` `WFI`
270 40 zero_gravi
  * Pseudo-instructions are not listed
271 42 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[m]` `time[h]` `[m]hpmcounter*[h]`(3..31, configurable) `mcounteren` `mcountinhibit` `mhpmevent*`(3..31, configurable)
272
  * Machine CSRs: `mstatus[h]` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
273 52 zero_gravi
  * Supported (sync.) exceptions (implementing the RISC-V specs):
274 2 zero_gravi
    * Misaligned instruction address
275 51 zero_gravi
    * Instruction access fault (via timeout/error after unacknowledged bus access)
276 2 zero_gravi
    * Illegal instruction
277 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
278 2 zero_gravi
    * Load address misaligned
279 51 zero_gravi
    * Load access fault (via timeout/error after unacknowledged bus access)
280 4 zero_gravi
    * Store address misaligned
281 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
282 40 zero_gravi
    * Environment call from U-mode (via `ecall` instruction in user mode)
283
    * Environment call from M-mode (via `ecall` instruction in machine mode)
284 55 zero_gravi
  * Supported interrupts:
285
    * RISC-V machine timer interrupt `mti` (via processor-internal MTIME unit *or* external signal)
286
    * RISC-V machine software interrupt `msi` (via external signal)
287
    * RISC-V machine external interrupt `mei` (via external signal)
288
    * 16 fast interrupt requests, 6+1 available for custom usage
289 2 zero_gravi
 
290 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
291 15 zero_gravi
 
292 47 zero_gravi
 
293 56 zero_gravi
#### `Zifencei` - Instruction stream synchronization extension
294
 
295 41 zero_gravi
  * System instructions: `FENCE.I` (among others, used to clear and reload instruction cache)
296 8 zero_gravi
 
297 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
298 47 zero_gravi
 
299 56 zero_gravi
 
300 51 zero_gravi
#### `PMP` - Privileged architecture - Physical memory protection
301 47 zero_gravi
 
302
  * Requires `Zicsr` extension
303 44 zero_gravi
  * Configurable number of regions (0..63)
304 42 zero_gravi
  * Additional machine CSRs: `pmpcfg*`(0..15) `pmpaddr*`(0..63)
305 2 zero_gravi
 
306 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
307 47 zero_gravi
 
308 56 zero_gravi
 
309 51 zero_gravi
#### `HPM` - Privileged architecture - Hardware performance monitors
310 47 zero_gravi
 
311
  * Requires `Zicsr` extension
312 44 zero_gravi
  * Configurable number of counters (0..29)
313
  * Additional machine CSRs: `mhpmevent*`(3..31) `[m]hpmcounter*[h]`(3..31)
314 15 zero_gravi
 
315 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
316 23 zero_gravi
 
317 56 zero_gravi
 
318 52 zero_gravi
### :warning: Non-RISC-V-Compatible Issues and Limitations
319 44 zero_gravi
 
320 40 zero_gravi
* CPU and Processor are BIG-ENDIAN, but this should be no problem as the external memory bus interface provides big- and little-endian configurations
321 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
322 42 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode yet and a minimal granularity of 8 bytes
323 56 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all remaining AMO operations
324 23 zero_gravi
 
325 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
326 23 zero_gravi
 
327
 
328 56 zero_gravi
 
329 2 zero_gravi
## FPGA Implementation Results
330
 
331 23 zero_gravi
### NEORV32 CPU
332
 
333
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
334 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
335 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
336 42 zero_gravi
of the CPU's generics is assumed (e.g. no physical memory protection, no hardware performance monitors).
337 49 zero_gravi
No constraints were used at all.
338 2 zero_gravi
 
339 55 zero_gravi
Results generated for hardware version [`1.5.3.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
340 2 zero_gravi
 
341 55 zero_gravi
| CPU Configuration                                 | LEs  | FFs  | Memory bits | DSPs (9-bit) | f_max   |
342
|:--------------------------------------------------|:----:|:----:|:-----------:|:------------:|:-------:|
343
| `rv32i`                                           |  980 |  409 |        1024 |            0 | 123 MHz |
344
| `rv32i`    + `Zicsr`                              | 1835 |  856 |        1024 |            0 | 124 MHz |
345
| `rv32im`   + `Zicsr`                              | 2443 | 1134 |        1024 |            0 | 124 MHz |
346
| `rv32imc`  + `Zicsr`                              | 2669 | 1149 |        1024 |            0 | 125 MHz |
347
| `rv32imac` + `Zicsr`                              | 2685 | 1156 |        1024 |            0 | 124 MHz |
348
| `rv32imac` + `Zicsr` + `u`                        | 2698 | 1162 |        1024 |            0 | 124 MHz |
349
| `rv32imac` + `Zicsr` + `u` + `Zifencei`           | 2715 | 1162 |        1024 |            0 | 122 MHz |
350 56 zero_gravi
| `rv32imac` + `Zicsr` + `u` + `Zifencei` + `Zfinx` | 4004 | 1812 |        1024 |            7 | 121 MHz |
351 2 zero_gravi
 
352 49 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max as the according `I` configuration.
353 55 zero_gravi
However, the size of the register file is cut in half.
354 2 zero_gravi
 
355 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
356 39 zero_gravi
 
357 56 zero_gravi
 
358 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
359
 
360 55 zero_gravi
Results generated for hardware version [`1.5.3.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
361 11 zero_gravi
 
362 55 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs (9-bit) |
363
|:----------|:-----------------------------------------------------|----:|----:|------------:|-------------:|
364
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |            0 |
365
| BUSSWITCH | Bus mux for CPU instr. & data interfaces             |  65 |   8 |           0 |            0 |
366
| i-CACHE   | Proc.-int. nstruction cache (default 1x4x64 bytes)   | 234 | 156 |       8 192 |            0 |
367
| CFS       | Custom functions subsystem                           |   - |   - |           - |            - |
368
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |            0 |
369
| GPIO      | General purpose input/output ports                   |  67 |  65 |           0 |            0 |
370
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |            0 |
371
| MTIME     | Machine system timer                                 | 274 | 166 |           0 |            0 |
372
| NCO       | Numerically-controlled oscillator                    | 254 | 226 |           0 |            0 |
373
| NEOLED    | Smart LED Interface (NeoPixel-compatibile) [4x FIFO] | 347 | 309 |           0 |            0 |
374
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |            0 |
375
| SPI       | Serial peripheral interface                          | 138 | 124 |           0 |            0 |
376
| SYSINFO   | System configuration information memory              |  11 |  10 |           0 |            0 |
377
| TRNG      | True random number generator                         | 132 | 105 |           0 |            0 |
378
| TWI       | Two-wire interface                                   |  77 |  46 |           0 |            0 |
379
| UART0/1   | Universal asynchronous receiver/transmitter 0/1      | 176 | 132 |           0 |            0 |
380
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |            0 |
381
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |            0 |
382 2 zero_gravi
 
383 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
384 2 zero_gravi
 
385 56 zero_gravi
 
386 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
387 6 zero_gravi
 
388 56 zero_gravi
:information_source: Check out the [`boards`](https://github.com/stnolting/neorv32/tree/master/boards) folder for exemplary setups targeting various FPGA boards.
389 6 zero_gravi
 
390 56 zero_gravi
The following tables show exemplary processor implementation results for different FPGA platforms. The processor setups use *the default peripheral configuration* (like no *CFS* and no *TRNG*),
391
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space.
392
 
393 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
394 6 zero_gravi
 
395 55 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | CPU Configuration                              | LUT / LE   | FF / REG   | DSP (9-bit) | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
396
|:--------|:----------------------------------|:-----------------|:---------------------------|:-----------------------------------------------|:-----------|:-----------|:------------|:-------------|:-----------|:---------|--------------:|
397
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | `rv32imc` + `u` + `Zicsr` + `Zifencei`         | 3813 (17%) | 1904  (8%) | 0 (0%)      | 231424 (38%) |          - |        - |       119 MHz |
398
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4397 (83%) | 1679 (31%) | 0 (0%)      |            - |   12 (40%) | 4 (100%) | *c* 22.15 MHz |
399
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2465 (12%) | 1912  (5%) | 0 (0%)      |            - |    8 (16%) |        - |   *c* 100 MHz |
400 2 zero_gravi
 
401 23 zero_gravi
**_Notes_**
402 56 zero_gravi
* The "default" implementation strategy of the according toolchain is used.
403 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
404 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
405
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
406 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
407
bootloader to store and automatically boot an application program after reset (both tested successfully).
408 40 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 64kB.
409 42 zero_gravi
* No HPM counters are implemented.
410 2 zero_gravi
 
411 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
412 22 zero_gravi
 
413
 
414 56 zero_gravi
 
415 2 zero_gravi
## Performance
416
 
417
### CoreMark Benchmark
418
 
419
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
420
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
421
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
422
 
423
~~~
424
**Configuration**
425 56 zero_gravi
Hardware:       32kB IMEM, 8kB DMEM, no caches, 100MHz clock
426 38 zero_gravi
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
427
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
428
Compiler flags: default, see makefile
429 56 zero_gravi
Optimization:   -O3
430 38 zero_gravi
Peripherals:    UART for printing the results
431 2 zero_gravi
~~~
432
 
433 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
434
 
435 56 zero_gravi
| CPU (including `Zicsr` extension)           | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
436 34 zero_gravi
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
437 42 zero_gravi
| `rv32i`                                     |    28 756 bytes |        `-O3` |          36.36 |    **0.3636** |
438
| `rv32imc`                                   |    22 008 bytes |        `-O3` |          68.97 |    **0.6897** |
439
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    22 008 bytes |        `-O3` |          90.91 |    **0.9091** |
440 2 zero_gravi
 
441 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
442
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
443 2 zero_gravi
 
444 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
445 22 zero_gravi
 
446 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
447 34 zero_gravi
 
448 56 zero_gravi
 
449 2 zero_gravi
### Instruction Cycles
450
 
451 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
452 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
453
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
454 42 zero_gravi
CPU extensions. *By default* the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
455 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
456
 
457 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
458 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
459 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
460 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
461 2 zero_gravi
 
462 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
463 2 zero_gravi
 
464 56 zero_gravi
| CPU  (including `Zicsr` extension)          | Required Clock Cycles | Executed Instructions | Average CPI |
465 34 zero_gravi
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
466 42 zero_gravi
| `rv32i`                                     |         5 595 750 503 |         1 466 028 607 |    **3.82** |
467
| `rv32imc`                                   |         2 981 786 734 |           611 814 918 |    **4.87** |
468
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 265 135 174 |           611 814 948 |    **3.70** |
469 2 zero_gravi
 
470 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
471
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
472
 
473 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
474 12 zero_gravi
 
475 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
476 22 zero_gravi
 
477 31 zero_gravi
 
478 56 zero_gravi
 
479 14 zero_gravi
## Top Entities
480 2 zero_gravi
 
481 51 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd),
482
which provides a Wishbone b4-compatoible bus interface.
483 2 zero_gravi
 
484 51 zero_gravi
:information_source: It is recommended to use the processor setup even if you want to **use the CPU in stand-alone mode**. Simply disable all the processor-internal
485
modules via the generics and you will get a "CPU wrapper" that already provides a minimal CPU environment and an external memory interface (like AXI4).
486
This setup also allows to further use the default bootloader and software framework. From this base you can start building your own processor system.
487 14 zero_gravi
 
488 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
489 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
490 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
491 22 zero_gravi
 
492 51 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
493
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected and tie all unused
494
input ports to zero.
495 23 zero_gravi
 
496 51 zero_gravi
**Alternative top entities**, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
497 36 zero_gravi
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
498
 
499 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
500 36 zero_gravi
 
501 56 zero_gravi
 
502 35 zero_gravi
### AXI4 Connectivity
503 22 zero_gravi
 
504 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
505
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
506
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
507 2 zero_gravi
 
508 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
509
 
510
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
511
 
512
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
513
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
514
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
515
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
516
 
517
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
518
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
519
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
520
 
521 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
522 35 zero_gravi
 
523
 
524 56 zero_gravi
 
525 2 zero_gravi
## Getting Started
526
 
527
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
528
 
529 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
530 2 zero_gravi
 
531
 
532 51 zero_gravi
### 1. Get the Toolchain
533 2 zero_gravi
 
534 50 zero_gravi
At first you need a **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
535 2 zero_gravi
and build the toolchain by yourself, or you can download a prebuilt one and install it.
536
 
537 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
538 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
539 2 zero_gravi
 
540 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
541 40 zero_gravi
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
542 46 zero_gravi
[:octocat: github.com/stnolting/riscv-gcc-prebuilt](https://github.com/stnolting/riscv-gcc-prebuilt)
543 2 zero_gravi
 
544 45 zero_gravi
You can also use the toolchains provided by [SiFive](https://github.com/sifive/freedom-tools/releases). These are 64-bit toolchains that can also emit 32-bit
545 50 zero_gravi
RISC-V code. They were compiled for more sophisticated machines (`rv32imac`) so make sure the according NEORV32 hardware extensions are enabled.
546 2 zero_gravi
 
547 45 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
548
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
549
 
550 50 zero_gravi
To check everything works fine, make sure `GNU Make` and a native `GCC` compiler are installed.
551
Test the installation of the RISC-V toolchain by navigating to an [example program project](https://github.com/stnolting/neorv32/tree/master/sw/example) like
552
`sw/example/blink_led` and running:
553 45 zero_gravi
 
554 50 zero_gravi
    neorv32/sw/example/blink_led$ make check
555 2 zero_gravi
 
556 50 zero_gravi
 
557 51 zero_gravi
### 2. Download the NEORV32 Project
558 50 zero_gravi
 
559 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
560 12 zero_gravi
 
561 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
562
 
563 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
564
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
565 2 zero_gravi
 
566 22 zero_gravi
 
567 51 zero_gravi
### 3. Create a new FPGA Project
568 22 zero_gravi
 
569 56 zero_gravi
:information_source: If want to use a script-based exemplary project setup check out the [`boards`](https://github.com/stnolting/neorv32/tree/master/boards) folder,
570
which provides exemplary setups targeting various FPGA boards.
571
 
572 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
573
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
574
 
575 40 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) or one of its
576 51 zero_gravi
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try thing out,
577
you can use the simple [**test setup** (`rtl/top_templates/neorv32_test_setup.vhd`)](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
578 2 zero_gravi
 
579 40 zero_gravi
![neorv32 test setup](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_test_setup.png)
580
 
581
 
582 51 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART0 communications lines, clock, reset and some
583
GPIO output signals are propagated as actual top entity interface signals. Basically, it is a FPGA version of a "hello world" example:
584 23 zero_gravi
 
585 2 zero_gravi
```vhdl
586 9 zero_gravi
  entity neorv32_test_setup is
587
    port (
588
      -- Global control --
589 50 zero_gravi
      clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
590
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
591 9 zero_gravi
      -- GPIO --
592 50 zero_gravi
      gpio_o      : out std_ulogic_vector(7 downto 0); -- parallel output
593
      -- UART0 --
594 51 zero_gravi
      uart0_txd_o : out std_ulogic;       -- UART0 send data
595 50 zero_gravi
      uart0_rxd_i : in  std_ulogic := '0' -- UART0 receive data
596 9 zero_gravi
    );
597
  end neorv32_test_setup;
598 2 zero_gravi
```
599
 
600
 
601 50 zero_gravi
### 4. Compile an Example Program
602 2 zero_gravi
 
603 50 zero_gravi
The NEORV32 project includes several [example program project](https://github.com/stnolting/neorv32/tree/master/sw/example) from
604
which you can start your own application. There are example programs to check out the processor's peripheral like I2C or the true-random number generator.
605 55 zero_gravi
And of course there is also a port of [Conway's Game of Life](https://github.com/stnolting/neorv32/tree/master/sw/example/game_of_life) available.
606 2 zero_gravi
 
607 50 zero_gravi
Simply compile one of these projects using
608 2 zero_gravi
 
609 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
610 2 zero_gravi
 
611 50 zero_gravi
This will create a NEORV32 *executable* `neorv32_exe.bin` in the same folder, which you can upload via the bootloader.
612 23 zero_gravi
 
613
 
614 50 zero_gravi
### 5. Upload the Executable via the Bootloader
615 34 zero_gravi
 
616 50 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the fancy NEORV32 bootloader. The bootloader
617 2 zero_gravi
uses the following default UART configuration:
618
 
619 32 zero_gravi
* 19200 Baud
620
* 8 data bits
621
* 1 stop bit
622
* No parity bits
623
* No transmission / flow control protocol (raw bytes only)
624
* Newline on `\r\n` (carriage return & newline) - also for sent data
625 2 zero_gravi
 
626 51 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable gerated during application compiling and *run* your application.
627 2 zero_gravi
 
628 9 zero_gravi
```
629 43 zero_gravi
<< NEORV32 Bootloader >>
630
 
631 55 zero_gravi
BLDV: Mar 23 2021
632
HWV:  0x01050208
633
CLK:  0x05F5E100
634
USER: 0x10000DE0
635
MISA: 0x40901105
636
ZEXT: 0x00000023
637
PROC: 0x0EFF0037
638
IMEM: 0x00004000 bytes @ 0x00000000
639
DMEM: 0x00002000 bytes @ 0x80000000
640 43 zero_gravi
 
641
Autoboot in 8s. Press key to abort.
642
Aborted.
643
 
644
Available CMDs:
645
 h: Help
646
 r: Restart
647
 u: Upload
648
 s: Store to flash
649
 l: Load from flash
650
 e: Execute
651
CMD:> u
652
Awaiting neorv32_exe.bin... OK
653
CMD:> e
654
Booting...
655
 
656
Blinking LED demo program
657 9 zero_gravi
```
658 2 zero_gravi
 
659 40 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
660 2 zero_gravi
 
661 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
662 2 zero_gravi
 
663
 
664 40 zero_gravi
## Contribute/Feedback/Questions
665 2 zero_gravi
 
666 51 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give any kind of feedback, feel free
667
to [open a new issue](https://github.com/stnolting/neorv32/issues), start a new [discussion on GitHub](https://github.com/stnolting/neorv32/discussions)
668
or directly [drop me a line](mailto:stnolting@gmail.com).
669 2 zero_gravi
 
670 51 zero_gravi
Here is a simple guide line if you'd like to contribute to this repository:
671 22 zero_gravi
 
672 51 zero_gravi
0. :star: this repository :wink:
673 40 zero_gravi
1. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
674
2. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
675
3. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
676
4. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
677
5. Commit your modifications: `git commit -m "Awesome new feature!"`
678
6. Push to the branch: `git push origin awesome_new_feature_branch`
679
7. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
680 2 zero_gravi
 
681 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
682 40 zero_gravi
 
683 56 zero_gravi
 
684 11 zero_gravi
## Legal
685 2 zero_gravi
 
686 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
687 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
688
 
689 37 zero_gravi
#### Citing
690 11 zero_gravi
 
691 51 zero_gravi
If you are using the NEORV32 or parts of the project in some kind of publication, please cite it as follows:
692 2 zero_gravi
 
693 51 zero_gravi
> S. Nolting, "The NEORV32 RISC-V Processor", github.com/stnolting/neorv32
694 2 zero_gravi
 
695 9 zero_gravi
#### BSD 3-Clause License
696 2 zero_gravi
 
697 42 zero_gravi
Copyright (c) 2021, Stephan Nolting. All rights reserved.
698 2 zero_gravi
 
699
Redistribution and use in source and binary forms, with or without modification, are
700
permitted provided that the following conditions are met:
701
 
702
1. Redistributions of source code must retain the above copyright notice, this list of
703
conditions and the following disclaimer.
704
2. Redistributions in binary form must reproduce the above copyright notice, this list of
705
conditions and the following disclaimer in the documentation and/or other materials
706
provided with the distribution.
707
3. Neither the name of the copyright holder nor the names of its contributors may be used to
708
endorse or promote products derived from this software without specific prior written
709
permission.
710
 
711
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
712
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
713
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
714
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
715
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
716
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
717
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
718
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
719
OF THE POSSIBILITY OF SUCH DAMAGE.
720
 
721
 
722 9 zero_gravi
#### Limitation of Liability for External Links
723
 
724 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
725 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
726
such external content. In all cases, the provider of information of the linked websites
727
is liable for the content and accuracy of the information provided. At the point in time
728
when the links were placed, no infringements of the law were recognisable to us. As soon
729
as an infringement of the law becomes known to us, we will immediately remove the
730
link in question.
731
 
732
 
733 11 zero_gravi
#### Proprietary  Notice
734 9 zero_gravi
 
735 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
736
 
737 45 zero_gravi
"Cyclone" and "Quartus Prime Lite" are trademarks of Intel Corporation.
738 2 zero_gravi
 
739 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
740 11 zero_gravi
 
741 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
742 2 zero_gravi
 
743 52 zero_gravi
"NeoPixel" is a trademark of Adafruit Industries.
744 2 zero_gravi
 
745 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
746 2 zero_gravi
 
747 52 zero_gravi
 
748 56 zero_gravi
 
749 18 zero_gravi
## Acknowledgements
750 9 zero_gravi
 
751 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
752
 
753 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
754 11 zero_gravi
 
755 43 zero_gravi
Continous integration provided by [:octocat: GitHub Actions](https://github.com/features/actions) and powered by [GHDL](https://github.com/ghdl/ghdl).
756 2 zero_gravi
 
757
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
758
 
759
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
760
 
761 56 zero_gravi
[[back to top](#The-NEORV32-RISC-V-Processor)]
762
 
763 32 zero_gravi
--------
764 2 zero_gravi
 
765 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.