OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_busswitch.vhd] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Switch >>                                                                    #
3
-- # ********************************************************************************************* #
4
-- # Allows to access a single peripheral bus ("p_bus") by two controller busses. Controller port  #
5
-- # A ("ca_bus") has priority over controller port B ("cb_bus").                                  #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
10 12 zero_gravi
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_busswitch is
46
  generic (
47 62 zero_gravi
    PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
48
    PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
49 12 zero_gravi
  );
50
  port (
51
    -- global control --
52
    clk_i           : in  std_ulogic; -- global clock, rising edge
53
    rstn_i          : in  std_ulogic; -- global reset, low-active, async
54
    -- controller interface a --
55
    ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
56
    ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
57
    ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
58
    ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
59
    ca_bus_we_i     : in  std_ulogic; -- write enable
60
    ca_bus_re_i     : in  std_ulogic; -- read enable
61 57 zero_gravi
    ca_bus_lock_i   : in  std_ulogic; -- exclusive access request
62 12 zero_gravi
    ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
63
    ca_bus_err_o    : out std_ulogic; -- bus transfer error
64
    -- controller interface b --
65
    cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
66
    cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
67
    cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
68
    cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
69
    cb_bus_we_i     : in  std_ulogic; -- write enable
70
    cb_bus_re_i     : in  std_ulogic; -- read enable
71 57 zero_gravi
    cb_bus_lock_i   : in  std_ulogic; -- exclusive access request
72 12 zero_gravi
    cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
73
    cb_bus_err_o    : out std_ulogic; -- bus transfer error
74
    -- peripheral bus --
75 36 zero_gravi
    p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
76 12 zero_gravi
    p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
77
    p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
78
    p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
79
    p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
80
    p_bus_we_o      : out std_ulogic; -- write enable
81
    p_bus_re_o      : out std_ulogic; -- read enable
82 57 zero_gravi
    p_bus_lock_o    : out std_ulogic; -- exclusive access request
83 12 zero_gravi
    p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
84
    p_bus_err_i     : in  std_ulogic  -- bus transfer error
85
  );
86
end neorv32_busswitch;
87
 
88
architecture neorv32_busswitch_rtl of neorv32_busswitch is
89
 
90
  -- access requests --
91 42 zero_gravi
  signal ca_rd_req_buf,  ca_wr_req_buf   : std_ulogic;
92
  signal cb_rd_req_buf,  cb_wr_req_buf   : std_ulogic;
93
  signal ca_req_current, ca_req_buffered : std_ulogic;
94
  signal cb_req_current, cb_req_buffered : std_ulogic;
95 12 zero_gravi
 
96
  -- internal bus lines --
97 42 zero_gravi
  signal ca_bus_ack, cb_bus_ack : std_ulogic;
98
  signal ca_bus_err, cb_bus_err : std_ulogic;
99
  signal p_bus_we,   p_bus_re   : std_ulogic;
100 12 zero_gravi
 
101
  -- access arbiter --
102
  type arbiter_state_t is (IDLE, BUSY, RETIRE, BUSY_SWITCHED, RETIRE_SWITCHED);
103
  type arbiter_t is record
104
    state     : arbiter_state_t;
105
    state_nxt : arbiter_state_t;
106
    bus_sel   : std_ulogic;
107
    re_trig   : std_ulogic;
108
    we_trig   : std_ulogic;
109
  end record;
110
  signal arbiter : arbiter_t;
111
 
112
begin
113
 
114
  -- Access Buffer --------------------------------------------------------------------------
115
  -- -------------------------------------------------------------------------------------------
116
  access_buffer: process(rstn_i, clk_i)
117
  begin
118
    if (rstn_i = '0') then
119
      ca_rd_req_buf <= '0';
120
      ca_wr_req_buf <= '0';
121
      cb_rd_req_buf <= '0';
122
      cb_wr_req_buf <= '0';
123
    elsif rising_edge(clk_i) then
124
 
125
      -- controller A requests --
126
      if (ca_rd_req_buf = '0') and (ca_wr_req_buf = '0') then -- idle
127
        ca_rd_req_buf <= ca_bus_re_i;
128
        ca_wr_req_buf <= ca_bus_we_i;
129 57 zero_gravi
      elsif (ca_bus_err = '1') or -- error termination
130 12 zero_gravi
            (ca_bus_ack = '1') then -- normal termination
131
        ca_rd_req_buf <= '0';
132
        ca_wr_req_buf <= '0';
133
      end if;
134
 
135
      -- controller B requests --
136
      if (cb_rd_req_buf = '0') and (cb_wr_req_buf = '0') then
137
        cb_rd_req_buf <= cb_bus_re_i;
138
        cb_wr_req_buf <= cb_bus_we_i;
139 57 zero_gravi
      elsif (cb_bus_err = '1') or -- error termination
140 12 zero_gravi
            (cb_bus_ack = '1') then -- normal termination
141
        cb_rd_req_buf <= '0';
142
        cb_wr_req_buf <= '0';
143
      end if;
144
 
145
    end if;
146
  end process access_buffer;
147
 
148
  -- any current requests? --
149
  ca_req_current <= (ca_bus_re_i or ca_bus_we_i) when (PORT_CA_READ_ONLY = false) else ca_bus_re_i;
150
  cb_req_current <= (cb_bus_re_i or cb_bus_we_i) when (PORT_CB_READ_ONLY = false) else cb_bus_re_i;
151
 
152
  -- any buffered requests? --
153
  ca_req_buffered <= (ca_rd_req_buf or ca_wr_req_buf) when (PORT_CA_READ_ONLY = false) else ca_rd_req_buf;
154
  cb_req_buffered <= (cb_rd_req_buf or cb_wr_req_buf) when (PORT_CB_READ_ONLY = false) else cb_rd_req_buf;
155
 
156
 
157
  -- Access Arbiter Sync --------------------------------------------------------------------
158
  -- -------------------------------------------------------------------------------------------
159
  arbiter_sync: process(rstn_i, clk_i)
160
  begin
161
    if (rstn_i = '0') then
162
      arbiter.state <= IDLE;
163
    elsif rising_edge(clk_i) then
164
      arbiter.state <= arbiter.state_nxt;
165
    end if;
166
  end process arbiter_sync;
167
 
168
 
169
  -- Peripheral Bus Arbiter -----------------------------------------------------------------
170
  -- -------------------------------------------------------------------------------------------
171
  arbiter_comb: process(arbiter, ca_req_current, cb_req_current, ca_req_buffered, cb_req_buffered,
172 57 zero_gravi
                        ca_rd_req_buf, ca_wr_req_buf, cb_rd_req_buf, cb_wr_req_buf, p_bus_ack_i, p_bus_err_i)
173 12 zero_gravi
  begin
174
    -- arbiter defaults --
175
    arbiter.state_nxt <= arbiter.state;
176
    arbiter.bus_sel   <= '0';
177
    arbiter.we_trig   <= '0';
178
    arbiter.re_trig   <= '0';
179
 
180
    -- state machine --
181
    case arbiter.state is
182
 
183
      when IDLE => -- Controller a has full bus access
184
      -- ------------------------------------------------------------
185 36 zero_gravi
        p_bus_src_o <= '0'; -- access from port A
186 12 zero_gravi
        if (ca_req_current = '1') then -- current request?
187
          arbiter.bus_sel   <= '0';
188
          arbiter.state_nxt <= BUSY;
189
        elsif (ca_req_buffered = '1') then -- buffered request?
190
          arbiter.bus_sel   <= '0';
191
          arbiter.state_nxt <= RETIRE;
192
        elsif (cb_req_current = '1') then -- current request from controller b?
193
          arbiter.bus_sel   <= '1';
194
          arbiter.state_nxt <= BUSY_SWITCHED;
195
        elsif (cb_req_buffered = '1') then -- buffered request from controller b?
196
          arbiter.bus_sel   <= '1';
197
          arbiter.state_nxt <= RETIRE_SWITCHED;
198
        end if;
199
 
200
      when BUSY => -- transaction in progress
201
      -- ------------------------------------------------------------
202 36 zero_gravi
        p_bus_src_o     <= '0'; -- access from port A
203 12 zero_gravi
        arbiter.bus_sel <= '0';
204 57 zero_gravi
        if (p_bus_err_i = '1') or -- error termination
205 12 zero_gravi
           (p_bus_ack_i = '1') then -- normal termination
206
          arbiter.state_nxt <= IDLE;
207
        end if;
208
 
209
      when RETIRE => -- retire pending access
210
      -- ------------------------------------------------------------
211 36 zero_gravi
        p_bus_src_o     <= '0'; -- access from port A
212 12 zero_gravi
        arbiter.bus_sel <= '0';
213
        if (PORT_CA_READ_ONLY = false) then
214
          arbiter.we_trig <= ca_wr_req_buf;
215
        end if;
216
        arbiter.re_trig   <= ca_rd_req_buf;
217
        arbiter.state_nxt <= BUSY;
218
 
219
      when BUSY_SWITCHED => -- switched transaction in progress
220
      -- ------------------------------------------------------------
221 36 zero_gravi
        p_bus_src_o     <= '1'; -- access from port B
222 12 zero_gravi
        arbiter.bus_sel <= '1';
223 57 zero_gravi
        if (p_bus_err_i = '1') or -- error termination
224 12 zero_gravi
           (p_bus_ack_i = '1') then -- normal termination
225 42 zero_gravi
          if (ca_req_buffered = '1') or (ca_req_current = '1') then -- any request from A?
226
            arbiter.state_nxt <= RETIRE;
227
          else
228
            arbiter.state_nxt <= IDLE;
229
          end if;
230 12 zero_gravi
        end if;
231
 
232
      when RETIRE_SWITCHED => -- retire pending switched access
233
      -- ------------------------------------------------------------
234 36 zero_gravi
        p_bus_src_o     <= '1'; -- access from port B
235 12 zero_gravi
        arbiter.bus_sel <= '1';
236
        if (PORT_CB_READ_ONLY = false) then
237
          arbiter.we_trig <= cb_wr_req_buf;
238
        end if;
239
        arbiter.re_trig   <= cb_rd_req_buf;
240
        arbiter.state_nxt <= BUSY_SWITCHED;
241
 
242
    end case;
243
  end process arbiter_comb;
244
 
245
 
246
  -- Peripheral Bus Switch ------------------------------------------------------------------
247
  -- -------------------------------------------------------------------------------------------
248 36 zero_gravi
  p_bus_addr_o   <= ca_bus_addr_i   when (arbiter.bus_sel = '0')    else cb_bus_addr_i;
249
  p_bus_wdata_o  <= cb_bus_wdata_i  when (PORT_CA_READ_ONLY = true) else ca_bus_wdata_i when (PORT_CB_READ_ONLY = true) else
250
                    ca_bus_wdata_i  when (arbiter.bus_sel = '0')    else cb_bus_wdata_i;
251
  p_bus_ben_o    <= cb_bus_ben_i    when (PORT_CA_READ_ONLY = true) else ca_bus_ben_i   when (PORT_CB_READ_ONLY = true) else
252
                    ca_bus_ben_i    when (arbiter.bus_sel = '0')    else cb_bus_ben_i;
253
  p_bus_we       <= ca_bus_we_i     when (arbiter.bus_sel = '0')    else cb_bus_we_i;
254
  p_bus_re       <= ca_bus_re_i     when (arbiter.bus_sel = '0')    else cb_bus_re_i;
255 12 zero_gravi
  p_bus_we_o     <= (p_bus_we or arbiter.we_trig);
256
  p_bus_re_o     <= (p_bus_re or arbiter.re_trig);
257 57 zero_gravi
  p_bus_lock_o   <= ca_bus_lock_i or cb_bus_lock_i;
258 12 zero_gravi
 
259
  ca_bus_rdata_o <= p_bus_rdata_i;
260
  cb_bus_rdata_o <= p_bus_rdata_i;
261
 
262
  ca_bus_ack     <= p_bus_ack_i and (not arbiter.bus_sel);
263
  cb_bus_ack     <= p_bus_ack_i and (    arbiter.bus_sel);
264
  ca_bus_ack_o   <= ca_bus_ack;
265
  cb_bus_ack_o   <= cb_bus_ack;
266
 
267
  ca_bus_err     <= p_bus_err_i and (not arbiter.bus_sel);
268
  cb_bus_err     <= p_bus_err_i and (    arbiter.bus_sel);
269
  ca_bus_err_o   <= ca_bus_err;
270
  cb_bus_err_o   <= cb_bus_err;
271
 
272
 
273
end neorv32_busswitch_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.