OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cfs.vhd] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Custom Functions Subsystem (CFS) >>                                              #
3
-- # ********************************************************************************************* #
4 70 zero_gravi
-- # Intended for tightly-coupled, application-specific custom co-processors. This module provides #
5
-- # 32x 32-bit memory-mapped interface registers, one interrupt request signal and custom IO      #
6
-- # conduits for processor-external or chip-external interface.                                   #
7
-- #                                                                                               #
8
-- # NOTE: This is just an example/illustration template. Modify/replace this file to implement    #
9
-- #       your own custom design logic.                                                           #
10 47 zero_gravi
-- # ********************************************************************************************* #
11
-- # BSD 3-Clause License                                                                          #
12
-- #                                                                                               #
13 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
14 47 zero_gravi
-- #                                                                                               #
15
-- # Redistribution and use in source and binary forms, with or without modification, are          #
16
-- # permitted provided that the following conditions are met:                                     #
17
-- #                                                                                               #
18
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
19
-- #    conditions and the following disclaimer.                                                   #
20
-- #                                                                                               #
21
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
22
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
23
-- #    provided with the distribution.                                                            #
24
-- #                                                                                               #
25
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
26
-- #    endorse or promote products derived from this software without specific prior written      #
27
-- #    permission.                                                                                #
28
-- #                                                                                               #
29
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
30
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
31
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
32
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
33
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
34
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
35
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
36
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
37
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
38
-- # ********************************************************************************************* #
39
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
40
-- #################################################################################################
41
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
use ieee.numeric_std.all;
45
 
46
library neorv32;
47
use neorv32.neorv32_package.all;
48
 
49
entity neorv32_cfs is
50
  generic (
51 52 zero_gravi
    CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
52 62 zero_gravi
    CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
53
    CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
54 47 zero_gravi
  );
55
  port (
56
    -- host access --
57
    clk_i       : in  std_ulogic; -- global clock line
58
    rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
59
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
60
    rden_i      : in  std_ulogic; -- read enable
61
    wren_i      : in  std_ulogic; -- word write enable
62
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
63
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
64
    ack_o       : out std_ulogic; -- transfer acknowledge
65 68 zero_gravi
    err_o       : out std_ulogic; -- transfer error
66 47 zero_gravi
    -- clock generator --
67
    clkgen_en_o : out std_ulogic; -- enable clock generator
68
    clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
69
    -- interrupt --
70
    irq_o       : out std_ulogic; -- interrupt request
71
    -- custom io (conduits) --
72 52 zero_gravi
    cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0);  -- custom inputs
73
    cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0)  -- custom outputs
74 47 zero_gravi
  );
75
end neorv32_cfs;
76
 
77
architecture neorv32_cfs_rtl of neorv32_cfs is
78
 
79
  -- IO space: module base address (DO NOT MODIFY!) --
80
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
81
  constant lo_abb_c : natural := index_size_f(cfs_size_c); -- low address boundary bit
82
 
83
  -- access control --
84
  signal acc_en : std_ulogic; -- module access enable
85
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
86
  signal wren   : std_ulogic; -- word write enable
87
  signal rden   : std_ulogic; -- read enable
88
 
89
  -- default CFS interface registers --
90
  type cfs_regs_t is array (0 to 3) of std_ulogic_vector(31 downto 0); -- just implement 4 registers for this example
91
  signal cfs_reg_wr : cfs_regs_t; -- interface registers for WRITE accesses
92
  signal cfs_reg_rd : cfs_regs_t; -- interface registers for READ accesses
93
 
94
begin
95
 
96
  -- Access Control -------------------------------------------------------------------------
97
  -- -------------------------------------------------------------------------------------------
98 70 zero_gravi
  -- This logic is required to handle the CPU accesses.
99 47 zero_gravi
  -- DO NOT MODIFY this unless you really know what you are doing.
100
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = cfs_base_c(hi_abb_c downto lo_abb_c)) else '0';
101
  addr   <= cfs_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
102 70 zero_gravi
  wren   <= acc_en and wren_i; -- write accesses always write a full 32-bit word
103
  rden   <= acc_en and rden_i; -- read accesses always return a full 32-bit word
104 47 zero_gravi
 
105 64 zero_gravi
  -- NOTE: Do not modify the CFS base address or the CFS' occupied address space as this might cause access
106
  -- collisions with other modules.
107 47 zero_gravi
 
108 64 zero_gravi
 
109 52 zero_gravi
  -- CFS Generics ---------------------------------------------------------------------------
110 47 zero_gravi
  -- -------------------------------------------------------------------------------------------
111 70 zero_gravi
  -- In it's default version the CFS provides three configuration generics:
112
  -- CFS_IN_SIZE  - configures the size (in bits) of the CFS input conduit cfs_in_i
113
  -- CFS_OUT_SIZE - configures the size (in bits) of the CFS output conduit cfs_out_o
114
  -- CFS_CONFIG   - is a blank 32-bit generic. It is intended as a "generic conduit" to propagate
115
  --                custom configuration flags from the top entity down to this module.
116 47 zero_gravi
 
117
 
118
  -- CFS IOs --------------------------------------------------------------------------------
119
  -- -------------------------------------------------------------------------------------------
120 70 zero_gravi
  -- By default, the CFS provides two IO signals (cfs_in_i and cfs_out_o) that are available at the processor's top entity.
121
  -- These are intended as "conduits" to propagate custom signals from this module and the processor top entity.
122 47 zero_gravi
 
123
  cfs_out_o <= (others => '0'); -- not used for this minimal example
124
 
125
 
126
  -- Reset System ---------------------------------------------------------------------------
127
  -- -------------------------------------------------------------------------------------------
128
  -- The CFS can be reset using the global rstn_i signal. This signal should be used as asynchronous reset and is active-low.
129 70 zero_gravi
  -- Note that rstn_i can be asserted by a processor-external reset, the on-chip debugger and also by the watchdog.
130 47 zero_gravi
  --
131 70 zero_gravi
  -- Most default peripheral devices of the NEORV32 do NOT use a dedicated hardware reset at all. Instead, these units are
132
  -- reset by writing ZERO to a specific "control register" located right at the beginning of the device's address space
133
  -- (so this register is cleared at first). The crt0 start-up code writes ZERO to every single address in the processor's
134
  -- IO space - including the CFS. Make sure that this initial clearing does not cause any unintended CFS actions.
135 47 zero_gravi
 
136
 
137
  -- Clock System ---------------------------------------------------------------------------
138
  -- -------------------------------------------------------------------------------------------
139 70 zero_gravi
  -- The processor top unit implements a clock generator providing 8 "derived clocks".
140 47 zero_gravi
  -- Actually, these signals should not be used as direct clock signals, but as *clock enable* signals.
141
  -- clkgen_i is always synchronous to the main system clock (clk_i).
142
  --
143 70 zero_gravi
  -- The following clock dividers are available:
144
  --  + clkgen_i(clk_div2_c)    -> MAIN_CLK/2
145
  --  + clkgen_i(clk_div4_c)    -> MAIN_CLK/4
146
  --  + clkgen_i(clk_div8_c)    -> MAIN_CLK/8
147
  --  + clkgen_i(clk_div64_c)   -> MAIN_CLK/64
148
  --  + clkgen_i(clk_div128_c)  -> MAIN_CLK/128
149
  --  + clkgen_i(clk_div1024_c) -> MAIN_CLK/1024
150
  --  + clkgen_i(clk_div2048_c) -> MAIN_CLK/2048
151
  --  + clkgen_i(clk_div4096_c) -> MAIN_CLK/4096
152 47 zero_gravi
  --
153
  -- For instance, if you want to drive a clock process at MAIN_CLK/8 clock speed you can use the following construct:
154
  --
155
  --   if (rstn_i = '0') then -- async and low-active reset (if required at all)
156
  --   ...
157
  --   elsif rising_edge(clk_i) then -- always use the main clock for all clock processes!
158
  --     if (clkgen_i(clk_div8_c) = '1') then -- the div8 "clock" is actually a clock enable
159
  --       ...
160
  --     end if;
161
  --   end if;
162
  --
163 70 zero_gravi
  -- The clkgen_i input clocks are available when at least one IO/peripheral device (for example the UART) requires the clocks
164
  -- generated by the clock generator. The CFS can enable the clock generator by itself by setting the clkgen_en_o signal high.
165
  -- The CFS cannot ensure to deactivate the clock generator by setting the clkgen_en_o signal low as other peripherals might
166
  -- still keep the generator activated. Make sure to deactivate the CFS's clkgen_en_o if no clocks are required in here to
167
  -- reduce dynamic power consumption.
168 47 zero_gravi
 
169
  clkgen_en_o <= '0'; -- not used for this minimal example
170
 
171
 
172
  -- Interrupt ------------------------------------------------------------------------------
173
  -- -------------------------------------------------------------------------------------------
174 70 zero_gravi
  -- The CFS features a single interrupt signal, which is connected to the CPU's "fast interrupt" channel 1 (FIRQ1).
175
  -- The interrupt is triggered by a one-cycle high-level. After triggering, the interrupt appears as "pending" in the CPU's
176
  -- mip CSR ready to trigger execution of the according interrupt handler. It is the task of the application to programmer
177
  -- to enable/clear the CFS interrupt using the CPU's mie and mip registers when required.
178 47 zero_gravi
 
179
  irq_o <= '0'; -- not used for this minimal example
180
 
181
 
182
  -- Read/Write Access ----------------------------------------------------------------------
183
  -- -------------------------------------------------------------------------------------------
184 70 zero_gravi
  -- Here we are reading/writing from/to the interface registers of the module. Please note that the peripheral/IO modules
185
  -- of the NEORV32 can only be written in full word mode (32-bit). Any other write accesses (half-word or byte) will raise
186
  -- a store bus access fault exception.
187 47 zero_gravi
  --
188 70 zero_gravi
  -- The CFS provides up to 32 memory-mapped 32-bit interface register. For instance, these could be used to provide a
189
  -- <control register> for global control of the unit, a <data register> for reading/writing from/to a data FIFO, a
190
  -- <command register> for issuing commands and a <status register> for status information.
191 47 zero_gravi
  --
192 70 zero_gravi
  -- Following the interface protocol, each read or write access has to be acknowledged in the following cycle using the ack_o
193
  -- signal (or even later if the module needs additional time; exceeding the maximum ACK latency will raise a bus exception).
194
  -- If no ACK is generated at all, the bus access will time out and cause a bus access fault exception.
195
  --
196
  -- This module also provides an optional ERROR signal to indicate a faulty access operation (for example when accessing an
197
  -- unused, read-only or "locked" CFS register address). This signal may only be set when the module is actually accessed
198
  -- and is asserted INSTEAD of the ACK signal. Setting the ERR signal will raise a bus access exception that can be handled
199
  -- by the application software.
200 47 zero_gravi
 
201 70 zero_gravi
  err_o <= '0'; -- Tie to zero if not explicitly used.
202 47 zero_gravi
 
203 70 zero_gravi
  -- Host access: Read and write access to the interface registers + bus transfer acknowledge. This example only implements
204
  -- four physical r/w register (the four lowest CFS registers). The remaining addresses of the CFS are not associated with
205
  -- any physical registers - any access to those is simply ignored but still acknowledged.
206
 
207 47 zero_gravi
  host_access: process(clk_i)
208
  begin
209 70 zero_gravi
    if rising_edge(clk_i) then -- synchronous interface for read and write accesses
210 47 zero_gravi
      -- transfer/access acknowledge --
211 70 zero_gravi
      ack_o <= rden or wren; -- default: required for the CPU to check the CFS is answering a bus read OR write request;
212
                             -- all r/w accesses (to any cfs_reg) will succeed
213 47 zero_gravi
 
214
      -- write access --
215 70 zero_gravi
      if (wren = '1') then
216 64 zero_gravi
        if (addr = cfs_reg0_addr_c) then -- make sure to use the internal "addr" signal for the read/write interface
217 70 zero_gravi
          cfs_reg_wr(0) <= data_i; -- physical register, for example: control register
218 64 zero_gravi
        end if;
219
        if (addr = cfs_reg1_addr_c) then
220 70 zero_gravi
          cfs_reg_wr(1) <= data_i; -- physical register, for example: data in/out fifo
221 64 zero_gravi
        end if;
222
        if (addr = cfs_reg2_addr_c) then
223 70 zero_gravi
          cfs_reg_wr(2) <= data_i; -- physical register, for example: command fifo
224 64 zero_gravi
        end if;
225
        if (addr = cfs_reg3_addr_c) then
226 70 zero_gravi
          cfs_reg_wr(3) <= data_i; -- physical register, for example: status register
227 64 zero_gravi
        end if;
228 60 zero_gravi
      end if;
229 47 zero_gravi
 
230
      -- read access --
231
      data_o <= (others => '0'); -- the output has to be zero if there is no actual read access
232 70 zero_gravi
      if (rden = '1') then -- the read access is always a full 32-bit word wide
233 47 zero_gravi
        case addr is -- make sure to use the internal 'addr' signal for the read/write interface
234
          when cfs_reg0_addr_c => data_o <= cfs_reg_rd(0);
235
          when cfs_reg1_addr_c => data_o <= cfs_reg_rd(1);
236
          when cfs_reg2_addr_c => data_o <= cfs_reg_rd(2);
237
          when cfs_reg3_addr_c => data_o <= cfs_reg_rd(3);
238
          when others          => data_o <= (others => '0'); -- the remaining registers are not implemented and will read as zero
239
        end case;
240
      end if;
241
    end if;
242
  end process host_access;
243
 
244
 
245
  -- CFS Function Core ----------------------------------------------------------------------
246
  -- -------------------------------------------------------------------------------------------
247
  -- This is where the actual functionality can be implemented.
248
  -- In this example we are just implementing four r/w registers that invert any value written to them.
249
 
250 70 zero_gravi
  cfs_core_logic: process(cfs_reg_wr)
251 47 zero_gravi
  begin
252 70 zero_gravi
    cfs_reg_rd(0) <= not cfs_reg_wr(0);
253 47 zero_gravi
    cfs_reg_rd(1) <= not cfs_reg_wr(1);
254
    cfs_reg_rd(2) <= not cfs_reg_wr(2);
255
    cfs_reg_rd(3) <= not cfs_reg_wr(3);
256 70 zero_gravi
  end process cfs_core_logic;
257 47 zero_gravi
 
258
 
259
end neorv32_cfs_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.