OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_ProcessorTop_stdlogic.vhd] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with Resolved Port Signals (std_logic/std_logic_vector) >>  #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
library neorv32;
40
use neorv32.neorv32_package.all;
41
 
42
entity neorv32_ProcessorTop_stdlogic is
43
  generic (
44
    -- General --
45
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
46
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
47
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
48
    -- On-Chip Debugger (OCD) --
49
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
50
    -- RISC-V CPU Extensions --
51
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
52 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
53 63 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
54
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
55
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
56
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
57
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
58
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
59 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
60
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
61 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
62
    -- Extension Options --
63
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
64
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
65
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
66
    -- Physical Memory Protection (PMP) --
67
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
68
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
69
    -- Hardware Performance Monitors (HPM) --
70
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
71
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
72
    -- Internal Instruction memory --
73
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
74
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
75
    -- Internal Data memory --
76
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
77
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
78
    -- Internal Cache memory --
79
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
80
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
81
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
82
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
83
    -- External memory interface --
84
    MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
85
    MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
86
    MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
87
    MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
88
    MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
89
    -- Stream link interface --
90
    SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
91
    SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
92
    SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
93
    SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
94
    -- External Interrupts Controller (XIRQ) --
95
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
96
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge
97
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := (others => '1'); -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
98
    -- Processor peripherals --
99
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
100
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
101
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
102 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
103
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
104 63 zero_gravi
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
105 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
106
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
107 63 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
108
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
109
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
110
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
111
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
112
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
113
    IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
114
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
115
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
116
    IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
117
  );
118
  port (
119
    -- Global control --
120
    clk_i          : in  std_logic := '0'; -- global clock, rising edge
121
    rstn_i         : in  std_logic := '0'; -- global reset, low-active, async
122
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
123
    jtag_trst_i    : in  std_logic := '0'; -- low-active TAP reset (optional)
124
    jtag_tck_i     : in  std_logic := '0'; -- serial clock
125
    jtag_tdi_i     : in  std_logic := '0'; -- serial data input
126
    jtag_tdo_o     : out std_logic;        -- serial data output
127
    jtag_tms_i     : in  std_logic := '0'; -- mode select
128
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
129
    wb_tag_o       : out std_logic_vector(02 downto 0); -- tag
130
    wb_adr_o       : out std_logic_vector(31 downto 0); -- address
131
    wb_dat_i       : in  std_logic_vector(31 downto 0) := (others => '0'); -- read data
132
    wb_dat_o       : out std_logic_vector(31 downto 0); -- write data
133
    wb_we_o        : out std_logic; -- read/write
134
    wb_sel_o       : out std_logic_vector(03 downto 0); -- byte enable
135
    wb_stb_o       : out std_logic; -- strobe
136
    wb_cyc_o       : out std_logic; -- valid cycle
137
    wb_lock_o      : out std_logic; -- exclusive access request
138
    wb_ack_i       : in  std_logic := '0'; -- transfer acknowledge
139
    wb_err_i       : in  std_logic := '0'; -- transfer error
140
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
141
    fence_o        : out std_logic; -- indicates an executed FENCE operation
142
    fencei_o       : out std_logic; -- indicates an executed FENCEI operation
143
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
144
    slink_tx_dat_o : out sdata_8x32r_t; -- output data
145
    slink_tx_val_o : out std_logic_vector(7 downto 0); -- valid output
146
    slink_tx_rdy_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- ready to send
147
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
148
    slink_rx_dat_i : in  sdata_8x32r_t := (others => (others => '0')); -- input data
149
    slink_rx_val_i : in  std_logic_vector(7 downto 0) := (others => '0'); -- valid input
150
    slink_rx_rdy_o : out std_logic_vector(7 downto 0); -- ready to receive
151
    -- GPIO (available if IO_GPIO_EN = true) --
152
    gpio_o         : out std_logic_vector(63 downto 0); -- parallel output
153
    gpio_i         : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
154
    -- primary UART0 (available if IO_UART0_EN = true) --
155
    uart0_txd_o    : out std_logic; -- UART0 send data
156
    uart0_rxd_i    : in  std_logic := '0'; -- UART0 receive data
157
    uart0_rts_o    : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
158
    uart0_cts_i    : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
159
    -- secondary UART1 (available if IO_UART1_EN = true) --
160
    uart1_txd_o    : out std_logic; -- UART1 send data
161
    uart1_rxd_i    : in  std_logic := '0'; -- UART1 receive data
162
    uart1_rts_o    : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
163
    uart1_cts_i    : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
164
    -- SPI (available if IO_SPI_EN = true) --
165
    spi_sck_o      : out std_logic; -- SPI serial clock
166
    spi_sdo_o      : out std_logic; -- controller data out, peripheral data in
167
    spi_sdi_i      : in  std_logic := '0'; -- controller data in, peripheral data out
168
    spi_csn_o      : out std_logic_vector(07 downto 0); -- SPI CS
169
    -- TWI (available if IO_TWI_EN = true) --
170
    twi_sda_io     : inout std_logic; -- twi serial data line
171
    twi_scl_io     : inout std_logic; -- twi serial clock line
172
    -- PWM (available if IO_PWM_NUM_CH > 0) --
173
    pwm_o          : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
174
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
175
    cfs_in_i       : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
176
    cfs_out_o      : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
177
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
178
    neoled_o       : out std_logic; -- async serial data line
179
    -- System time --
180
    mtime_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
181
    mtime_o        : out std_logic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
182
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
183
    xirq_i         : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
184
    -- CPU Interrupts --
185
    mtime_irq_i    : in  std_logic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
186
    msw_irq_i      : in  std_logic := '0'; -- machine software interrupt
187
    mext_irq_i     : in  std_logic := '0'  -- machine external interrupt
188
  );
189
end entity;
190
 
191
architecture neorv32_ProcessorTop_stdlogic_rtl of neorv32_ProcessorTop_stdlogic is
192
 
193
  -- type conversion --
194
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
195
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
196
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
197
  --
198
  signal clk_i_int       : std_ulogic;
199
  signal rstn_i_int      : std_ulogic;
200
  --
201
  signal jtag_trst_i_int :std_ulogic;
202
  signal jtag_tck_i_int  :std_ulogic;
203
  signal jtag_tdi_i_int  :std_ulogic;
204
  signal jtag_tdo_o_int  :std_ulogic;
205
  signal jtag_tms_i_int  :std_ulogic;
206
  --
207
  signal wb_tag_o_int    : std_ulogic_vector(02 downto 0);
208
  signal wb_adr_o_int    : std_ulogic_vector(31 downto 0);
209
  signal wb_dat_i_int    : std_ulogic_vector(31 downto 0);
210
  signal wb_dat_o_int    : std_ulogic_vector(31 downto 0);
211
  signal wb_we_o_int     : std_ulogic;
212
  signal wb_sel_o_int    : std_ulogic_vector(03 downto 0);
213
  signal wb_stb_o_int    : std_ulogic;
214
  signal wb_cyc_o_int    : std_ulogic;
215
  signal wb_lock_o_int   : std_ulogic;
216
  signal wb_ack_i_int    : std_ulogic;
217
  signal wb_err_i_int    : std_ulogic;
218
  --
219
  signal fence_o_int     : std_ulogic;
220
  signal fencei_o_int    : std_ulogic;
221
  --
222
  signal slink_tx_dat_o_int : sdata_8x32_t;
223
  signal slink_tx_val_o_int : std_logic_vector(7 downto 0);
224
  signal slink_tx_rdy_i_int : std_logic_vector(7 downto 0);
225
  signal slink_rx_dat_i_int : sdata_8x32_t;
226
  signal slink_rx_val_i_int : std_logic_vector(7 downto 0);
227
  signal slink_rx_rdy_o_int : std_logic_vector(7 downto 0);
228
  --
229
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
230
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
231
  --
232
  signal uart0_txd_o_int : std_ulogic;
233
  signal uart0_rxd_i_int : std_ulogic;
234
  signal uart0_rts_o_int : std_ulogic;
235
  signal uart0_cts_i_int : std_ulogic;
236
  --
237
  signal uart1_txd_o_int : std_ulogic;
238
  signal uart1_rxd_i_int : std_ulogic;
239
  signal uart1_rts_o_int : std_ulogic;
240
  signal uart1_cts_i_int : std_ulogic;
241
  --
242
  signal spi_sck_o_int   : std_ulogic;
243
  signal spi_sdo_o_int   : std_ulogic;
244
  signal spi_sdi_i_int   : std_ulogic;
245
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
246
  --
247
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
248
  --
249
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
250
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
251
  --
252
  signal neoled_o_int    : std_ulogic;
253
  --
254
  signal mtime_i_int     : std_ulogic_vector(63 downto 0);
255
  signal mtime_o_int     : std_ulogic_vector(63 downto 0);
256
  --
257
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
258
  --
259
  signal mtime_irq_i_int : std_ulogic;
260
  signal msw_irq_i_int   : std_ulogic;
261
  signal mext_irq_i_int  : std_ulogic;
262
 
263
begin
264
 
265
  -- The Core Of The Problem ----------------------------------------------------------------
266
  -- -------------------------------------------------------------------------------------------
267
  neorv32_top_inst: neorv32_top
268
  generic map (
269
    -- General --
270
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
271
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
272
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid) (32-bit)
273
    -- On-Chip Debugger (OCD) --
274
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
275
    -- RISC-V CPU Extensions --
276
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
277 66 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit-manipulation extension?
278 63 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
279
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
280
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
281
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
282
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
283
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
284 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
285
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
286 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
287
    -- Extension Options --
288
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
289
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
290
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
291
    -- Physical Memory Protection (PMP) --
292
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
293
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
294
    -- Hardware Performance Monitors (HPM) --
295
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
296
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
297
    -- Internal Instruction memory --
298
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
299
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
300
    -- Internal Data memory --
301
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
302
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
303
    -- Internal Cache memory --
304
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
305
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
306
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
307
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
308
    -- External memory interface --
309
    MEM_EXT_EN                   => MEM_EXT_EN,         -- implement external memory bus interface?
310
    MEM_EXT_TIMEOUT              => MEM_EXT_TIMEOUT,    -- cycles after a pending bus access auto-terminates (0 = disabled)
311
    MEM_EXT_PIPE_MODE            => MEM_EXT_PIPE_MODE,  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
312
    MEM_EXT_BIG_ENDIAN           => MEM_EXT_BIG_ENDIAN, -- byte order: true=big-endian, false=little-endian
313
    MEM_EXT_ASYNC_RX             => MEM_EXT_ASYNC_RX,   -- use register buffer for RX data when false
314
    -- Stream link interface --
315
    SLINK_NUM_TX                 => SLINK_NUM_TX,       -- number of TX links (0..8)
316
    SLINK_NUM_RX                 => SLINK_NUM_RX,       -- number of TX links (0..8)
317
    SLINK_TX_FIFO                => SLINK_TX_FIFO,      -- TX fifo depth, has to be a power of two
318
    SLINK_RX_FIFO                => SLINK_RX_FIFO,      -- RX fifo depth, has to be a power of two
319
    -- External Interrupts Controller (XIRQ) --
320
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
321
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
322
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
323
    -- Processor peripherals --
324
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
325
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
326
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
327 65 zero_gravi
    IO_UART0_RX_FIFO             => IO_UART0_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
328
    IO_UART0_TX_FIFO             => IO_UART0_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
329 63 zero_gravi
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
330 65 zero_gravi
    IO_UART1_RX_FIFO             => IO_UART1_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
331
    IO_UART1_TX_FIFO             => IO_UART1_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
332 63 zero_gravi
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
333
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
334
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
335
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
336
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
337
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
338
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
339
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
340
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
341
    IO_NEOLED_EN                 => IO_NEOLED_EN        -- implement NeoPixel-compatible smart LED interface (NEOLED)?
342
  )
343
  port map (
344
    -- Global control --
345
    clk_i          => clk_i_int,       -- global clock, rising edge
346
    rstn_i         => rstn_i_int,      -- global reset, low-active, async
347
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
348
    jtag_trst_i    => jtag_trst_i_int, -- low-active TAP reset (optional)
349
    jtag_tck_i     => jtag_tck_i_int,  -- serial clock
350
    jtag_tdi_i     => jtag_tdi_i_int,  -- serial data input
351
    jtag_tdo_o     => jtag_tdo_o_int,  -- serial data output
352
    jtag_tms_i     => jtag_tms_i_int,  -- mode select
353
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
354
    wb_tag_o       => wb_tag_o_int,    -- tag
355
    wb_adr_o       => wb_adr_o_int,    -- address
356
    wb_dat_i       => wb_dat_i_int,    -- read data
357
    wb_dat_o       => wb_dat_o_int,    -- write data
358
    wb_we_o        => wb_we_o_int,     -- read/write
359
    wb_sel_o       => wb_sel_o_int,    -- byte enable
360
    wb_stb_o       => wb_stb_o_int,    -- strobe
361
    wb_cyc_o       => wb_cyc_o_int,    -- valid cycle
362
    wb_lock_o      => wb_lock_o_int,   -- exclusive access request
363
    wb_ack_i       => wb_ack_i_int,    -- transfer acknowledge
364
    wb_err_i       => wb_err_i_int,    -- transfer error
365
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
366
    fence_o        => fence_o_int,     -- indicates an executed FENCE operation
367
    fencei_o       => fencei_o_int,    -- indicates an executed FENCEI operation
368
    -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
369
    slink_tx_dat_o => slink_tx_dat_o_int, -- output data
370
    slink_tx_val_o => slink_tx_val_o_int, -- valid output
371
    slink_tx_rdy_i => slink_tx_rdy_i_int, -- ready to send
372
    -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
373
    slink_rx_dat_i => slink_rx_dat_i_int, -- input data
374
    slink_rx_val_i => slink_rx_val_i_int, -- valid input
375
    slink_rx_rdy_o => slink_rx_rdy_o_int, -- ready to receive
376
    -- GPIO (available if IO_GPIO_EN = true) --
377
    gpio_o         => gpio_o_int,      -- parallel output
378
    gpio_i         => gpio_i_int,      -- parallel input
379
    -- primary UART0 (available if IO_UART0_EN = true) --
380
    uart0_txd_o    => uart0_txd_o_int, -- UART0 send data
381
    uart0_rxd_i    => uart0_rxd_i_int, -- UART0 receive data
382
    uart0_rts_o    => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
383
    uart0_cts_i    => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
384
    -- secondary UART1 (available if IO_UART1_EN = true) --
385
    uart1_txd_o    => uart1_txd_o_int, -- UART1 send data
386
    uart1_rxd_i    => uart1_rxd_i_int, -- UART1 receive data
387
    uart1_rts_o    => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
388
    uart1_cts_i    => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
389
    -- SPI (available if IO_SPI_EN = true) --
390
    spi_sck_o      => spi_sck_o_int,   -- SPI serial clock
391
    spi_sdo_o      => spi_sdo_o_int,   -- controller data out, peripheral data in
392
    spi_sdi_i      => spi_sdi_i_int,   -- controller data in, peripheral data out
393
    spi_csn_o      => spi_csn_o_int,   -- SPI CS
394
    -- TWI (available if IO_TWI_EN = true) --
395
    twi_sda_io     => twi_sda_io,      -- twi serial data line
396
    twi_scl_io     => twi_scl_io,      -- twi serial clock line
397
    -- PWM (available if IO_PWM_NUM_CH > 0) --
398
    pwm_o          => pwm_o_int,       -- pwm channels
399
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
400
    cfs_in_i       => cfs_in_i_int,    -- custom inputs
401
    cfs_out_o      => cfs_out_o_int,   -- custom outputs
402
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
403
    neoled_o       => neoled_o_int,    -- async serial data line
404
    -- System time --
405
    mtime_i        => mtime_i_int,     -- current system time from ext. MTIME (if IO_MTIME_EN = false)
406
    mtime_o        => mtime_o_int,     -- current system time from int. MTIME (if IO_MTIME_EN = true)
407
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
408
    xirq_i         => xirq_i_int,      -- IRQ channels
409
    -- CPU Interrupts --
410
    mtime_irq_i    => mtime_irq_i_int, -- machine timer interrupt, available if IO_MTIME_EN = false
411
    msw_irq_i      => msw_irq_i_int,   -- machine software interrupt
412
    mext_irq_i     => mext_irq_i_int   -- machine external interrupt
413
  );
414
 
415
  -- type conversion --
416
  clk_i_int       <= std_ulogic(clk_i);
417
  rstn_i_int      <= std_ulogic(rstn_i);
418
 
419
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
420
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
421
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
422
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
423
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
424
 
425
  wb_tag_o        <= std_logic_vector(wb_tag_o_int);
426
  wb_adr_o        <= std_logic_vector(wb_adr_o_int);
427
  wb_dat_i_int    <= std_ulogic_vector(wb_dat_i);
428
  wb_dat_o        <= std_logic_vector(wb_dat_o_int);
429
  wb_we_o         <= std_logic(wb_we_o_int);
430
  wb_sel_o        <= std_logic_vector(wb_sel_o_int);
431
  wb_stb_o        <= std_logic(wb_stb_o_int);
432
  wb_cyc_o        <= std_logic(wb_cyc_o_int);
433
  wb_lock_o       <= std_logic(wb_lock_o_int);
434
  wb_ack_i_int    <= std_ulogic(wb_ack_i);
435
  wb_err_i_int    <= std_ulogic(wb_err_i);
436
 
437
  fence_o         <= std_logic(fence_o_int);
438
  fencei_o        <= std_logic(fencei_o_int);
439
 
440
  slink_tx_val_o     <= std_logic_vector(slink_tx_val_o_int);
441
  slink_tx_rdy_i_int <= std_ulogic_vector(slink_tx_rdy_i);
442
  slink_rx_val_i_int <= std_ulogic_vector(slink_rx_val_i);
443
  slink_rx_rdy_o     <= std_logic_vector(slink_rx_rdy_o_int);
444
 
445
  slink_conv:
446
  for i in 0 to 7 generate
447
    slink_tx_dat_o(i)     <= std_logic_vector(slink_tx_dat_o_int(i));
448
    slink_rx_dat_i_int(i) <= std_ulogic_vector(slink_rx_dat_i(i));
449
  end generate;
450
 
451
  gpio_o          <= std_logic_vector(gpio_o_int);
452
  gpio_i_int      <= std_ulogic_vector(gpio_i);
453
 
454
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
455
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
456 65 zero_gravi
  uart0_rts_o     <= std_logic(uart0_rts_o_int);
457
  uart0_cts_i_int <= std_ulogic(uart0_cts_i);
458 63 zero_gravi
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
459
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
460 65 zero_gravi
  uart1_rts_o     <= std_logic(uart1_rts_o_int);
461
  uart1_cts_i_int <= std_ulogic(uart1_cts_i);
462 63 zero_gravi
 
463
  spi_sck_o       <= std_logic(spi_sck_o_int);
464
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
465
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
466
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
467
 
468
  pwm_o           <= std_logic_vector(pwm_o_int);
469
 
470
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
471
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
472
 
473
  neoled_o        <= std_logic(neoled_o_int);
474
 
475
  mtime_i_int     <= std_ulogic_vector(mtime_i);
476
  mtime_o         <= std_logic_vector(mtime_o_int);
477
 
478
  xirq_i_int      <= std_ulogic_vector(xirq_i);
479
 
480
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
481
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
482
 
483
 
484
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.