OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [system_integration/] [neorv32_SystemTop_axi4lite.vhd] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 63 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Processor Top Entity with AXI4-Lite Compatible Master Interface >>               #
3
-- # ********************************************************************************************* #
4
-- # (c) "AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.                         #
5
-- # Note: External MTIME is not supported.                                                        #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_SystemTop_axi4lite is
46
  generic (
47
    -- ------------------------------------------------------------
48
    -- Configuration Generics --
49
    -- ------------------------------------------------------------
50
    -- General --
51
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
52
    INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
53
    HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
54
    -- On-Chip Debugger (OCD) --
55
    ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
56
    -- RISC-V CPU Extensions --
57
    CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
58 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
59 63 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
60
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
61
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
62
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
63
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT reg!)
64
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
65 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
66
    CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
67 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
68
    -- Extension Options --
69
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
70
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
71
    CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
72
    -- Physical Memory Protection (PMP) --
73
    PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
74
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
75
    -- Hardware Performance Monitors (HPM) --
76
    HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
77
    HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
78
    -- Internal Instruction memory --
79
    MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
80
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
81
    -- Internal Data memory --
82
    MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
83
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
84
    -- Internal Cache memory --
85
    ICACHE_EN                    : boolean := false;  -- implement instruction cache
86
    ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
87
    ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
88
    ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
89
    -- External Interrupts Controller (XIRQ) --
90
    XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
91
    XIRQ_TRIGGER_TYPE            : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
92
    XIRQ_TRIGGER_POLARITY        : std_logic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
93
    -- Processor peripherals --
94
    IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
95
    IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
96
    IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
97 65 zero_gravi
    IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
98
    IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
99 63 zero_gravi
    IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
100 65 zero_gravi
    IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
101
    IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
102 63 zero_gravi
    IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
103
    IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
104
    IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
105
    IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
106
    IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
107
    IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
108
    IO_CFS_CONFIG                : std_logic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
109
    IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
110
    IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
111 65 zero_gravi
    IO_NEOLED_EN                 : boolean := true;   -- implement NeoPixel-compatible smart LED interface (NEOLED)?
112
    IO_NEOLED_TX_FIFO            : natural := 1       -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
113 63 zero_gravi
  );
114
  port (
115
    -- ------------------------------------------------------------
116
    -- AXI4-Lite-Compatible Master Interface --
117
    -- ------------------------------------------------------------
118
    -- Clock and Reset --
119
    m_axi_aclk    : in  std_logic;
120
    m_axi_aresetn : in  std_logic;
121
    -- Write Address Channel --
122
    m_axi_awaddr  : out std_logic_vector(31 downto 0);
123
    m_axi_awprot  : out std_logic_vector(2 downto 0);
124
    m_axi_awvalid : out std_logic;
125
    m_axi_awready : in  std_logic;
126
    -- Write Data Channel --
127
    m_axi_wdata   : out std_logic_vector(31 downto 0);
128
    m_axi_wstrb   : out std_logic_vector(3 downto 0);
129
    m_axi_wvalid  : out std_logic;
130
    m_axi_wready  : in  std_logic;
131
    -- Read Address Channel --
132
    m_axi_araddr  : out std_logic_vector(31 downto 0);
133
    m_axi_arprot  : out std_logic_vector(2 downto 0);
134
    m_axi_arvalid : out std_logic;
135
    m_axi_arready : in  std_logic;
136
    -- Read Data Channel --
137
    m_axi_rdata   : in  std_logic_vector(31 downto 0);
138
    m_axi_rresp   : in  std_logic_vector(1 downto 0);
139
    m_axi_rvalid  : in  std_logic;
140
    m_axi_rready  : out std_logic;
141
    -- Write Response Channel --
142
    m_axi_bresp   : in  std_logic_vector(1 downto 0);
143
    m_axi_bvalid  : in  std_logic;
144
    m_axi_bready  : out std_logic;
145
    -- ------------------------------------------------------------
146
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
147
    -- ------------------------------------------------------------
148
    jtag_trst_i   : in  std_logic := '0'; -- low-active TAP reset (optional)
149
    jtag_tck_i    : in  std_logic := '0'; -- serial clock
150
    jtag_tdi_i    : in  std_logic := '0'; -- serial data input
151
    jtag_tdo_o    : out std_logic;        -- serial data output
152
    jtag_tms_i    : in  std_logic := '0'; -- mode select
153
    -- ------------------------------------------------------------
154
    -- Processor IO --
155
    -- ------------------------------------------------------------
156
    -- GPIO (available if IO_GPIO_EN = true) --
157
    gpio_o        : out std_logic_vector(63 downto 0); -- parallel output
158
    gpio_i        : in  std_logic_vector(63 downto 0) := (others => '0'); -- parallel input
159
    -- primary UART0 (available if IO_UART0_EN = true) --
160
    uart0_txd_o   : out std_logic; -- UART0 send data
161
    uart0_rxd_i   : in  std_logic := '0'; -- UART0 receive data
162
    uart0_rts_o   : out std_logic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
163
    uart0_cts_i   : in  std_logic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
164
    -- secondary UART1 (available if IO_UART1_EN = true) --
165
    uart1_txd_o   : out std_logic; -- UART1 send data
166
    uart1_rxd_i   : in  std_logic := '0'; -- UART1 receive data
167
    uart1_rts_o   : out std_logic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
168
    uart1_cts_i   : in  std_logic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
169
    -- SPI (available if IO_SPI_EN = true) --
170
    spi_sck_o     : out std_logic; -- SPI serial clock
171
    spi_sdo_o     : out std_logic; -- controller data out, peripheral data in
172
    spi_sdi_i     : in  std_logic := '0'; -- controller data in, peripheral data out
173
    spi_csn_o     : out std_logic_vector(07 downto 0); -- SPI CS
174
    -- TWI (available if IO_TWI_EN = true) --
175
    twi_sda_io    : inout std_logic; -- twi serial data line
176
    twi_scl_io    : inout std_logic; -- twi serial clock line
177
    -- PWM (available if IO_PWM_NUM_CH > 0) --
178
    pwm_o         : out std_logic_vector(IO_PWM_NUM_CH-1 downto 0);  -- pwm channels
179
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
180
    cfs_in_i      : in  std_logic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom inputs
181
    cfs_out_o     : out std_logic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom outputs
182
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
183
    neoled_o      : out std_logic; -- async serial data line
184
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
185
    xirq_i        : in  std_logic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
186
    -- CPU Interrupts --
187
    msw_irq_i     : in  std_logic := '0'; -- machine software interrupt
188
    mext_irq_i    : in  std_logic := '0'  -- machine external interrupt
189
  );
190
end entity;
191
 
192
architecture neorv32_SystemTop_axi4lite_rtl of neorv32_SystemTop_axi4lite is
193
 
194
  -- type conversion --
195
  constant IO_CFS_CONFIG_INT         : std_ulogic_vector(31 downto 0) := std_ulogic_vector(IO_CFS_CONFIG);
196
  constant XIRQ_TRIGGER_TYPE_INT     : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_TYPE);
197
  constant XIRQ_TRIGGER_POLARITY_INT : std_ulogic_vector(31 downto 0) := std_ulogic_vector(XIRQ_TRIGGER_POLARITY);
198
  --
199
  signal clk_i_int       : std_ulogic;
200
  signal rstn_i_int      : std_ulogic;
201
  --
202
  signal jtag_trst_i_int :std_ulogic;
203
  signal jtag_tck_i_int  :std_ulogic;
204
  signal jtag_tdi_i_int  :std_ulogic;
205
  signal jtag_tdo_o_int  :std_ulogic;
206
  signal jtag_tms_i_int  :std_ulogic;
207
  --
208
  signal gpio_o_int      : std_ulogic_vector(63 downto 0);
209
  signal gpio_i_int      : std_ulogic_vector(63 downto 0);
210
  --
211
  signal uart0_txd_o_int : std_ulogic;
212
  signal uart0_rxd_i_int : std_ulogic;
213
  signal uart0_rts_o_int : std_ulogic;
214
  signal uart0_cts_i_int : std_ulogic;
215
  --
216
  signal uart1_txd_o_int : std_ulogic;
217
  signal uart1_rxd_i_int : std_ulogic;
218
  signal uart1_rts_o_int : std_ulogic;
219
  signal uart1_cts_i_int : std_ulogic;
220
  --
221
  signal spi_sck_o_int   : std_ulogic;
222
  signal spi_sdo_o_int   : std_ulogic;
223
  signal spi_sdi_i_int   : std_ulogic;
224
  signal spi_csn_o_int   : std_ulogic_vector(07 downto 0);
225
  --
226
  signal pwm_o_int       : std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0);
227
  --
228
  signal cfs_in_i_int    : std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0);
229
  signal cfs_out_o_int   : std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0);
230
  --
231
  signal neoled_o_int    : std_ulogic;
232
  --
233
  signal xirq_i_int      : std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
234
  --
235
  signal msw_irq_i_int   : std_ulogic;
236
  signal mext_irq_i_int  : std_ulogic;
237
 
238
  -- internal wishbone bus --
239
  type wb_bus_t is record
240
    adr  : std_ulogic_vector(31 downto 0); -- address
241
    di   : std_ulogic_vector(31 downto 0); -- processor input data
242
    do   : std_ulogic_vector(31 downto 0); -- processor output data
243
    we   : std_ulogic; -- write enable
244
    sel  : std_ulogic_vector(03 downto 0); -- byte enable
245
    stb  : std_ulogic; -- strobe
246
    cyc  : std_ulogic; -- valid cycle
247
    ack  : std_ulogic; -- transfer acknowledge
248
    err  : std_ulogic; -- transfer error
249
    tag  : std_ulogic_vector(02 downto 0); -- tag
250
    lock : std_ulogic; -- exclusive access request
251
  end record;
252
  signal wb_core : wb_bus_t;
253
 
254
  -- AXI bridge control --
255
  type ctrl_t is record
256
    radr_received : std_ulogic;
257
    wadr_received : std_ulogic;
258
    wdat_received : std_ulogic;
259
  end record;
260
  signal ctrl : ctrl_t;
261
 
262
  signal ack_read, ack_write : std_ulogic; -- normal transfer termination
263
  signal err_read, err_write : std_ulogic; -- error transfer termination
264
 
265
begin
266
 
267
  -- Sanity Checks --------------------------------------------------------------------------
268
  -- -------------------------------------------------------------------------------------------
269
  assert not (CPU_EXTENSION_RISCV_A = true) report "NEORV32 PROCESSOR CONFIG WARNING: AXI4-Lite provides NO support for atomic memory operations. LR/SC access via AXI will raise a bus exception." severity warning;
270
 
271
 
272
  -- The Core Of The Problem ----------------------------------------------------------------
273
  -- -------------------------------------------------------------------------------------------
274
  neorv32_top_inst: neorv32_top
275
  generic map (
276
    -- General --
277
    CLOCK_FREQUENCY              => CLOCK_FREQUENCY,    -- clock frequency of clk_i in Hz
278
    INT_BOOTLOADER_EN            => INT_BOOTLOADER_EN,  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
279
    HW_THREAD_ID                 => HW_THREAD_ID,       -- hardware thread id (hartid)
280
    -- On-Chip Debugger (OCD) --
281
    ON_CHIP_DEBUGGER_EN          => ON_CHIP_DEBUGGER_EN,          -- implement on-chip debugger
282
    -- RISC-V CPU Extensions --
283
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
284 66 zero_gravi
    CPU_EXTENSION_RISCV_B        => CPU_EXTENSION_RISCV_B,        -- implement bit-manipulation extension?
285 63 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
286
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
287
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
288
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
289
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
290
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
291 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   => CPU_EXTENSION_RISCV_Zicntr,   -- implement base counters?
292
    CPU_EXTENSION_RISCV_Zihpm    => CPU_EXTENSION_RISCV_Zihpm,    -- implement hardware performance monitors?
293 63 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
294
    -- Extension Options --
295
    FAST_MUL_EN                  => FAST_MUL_EN,        -- use DSPs for M extension's multiplier
296
    FAST_SHIFT_EN                => FAST_SHIFT_EN,      -- use barrel shifter for shift operations
297
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,      -- total width of CPU cycle and instret counters (0..64)
298
    -- Physical Memory Protection (PMP) --
299
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,    -- number of regions (0..64)
300
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
301
    -- Hardware Performance Monitors (HPM) --
302
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,       -- number of implemented HPM counters (0..29)
303
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH,      -- total size of HPM counters (0..64)
304
    -- Internal Instruction memory --
305
    MEM_INT_IMEM_EN              => MEM_INT_IMEM_EN,    -- implement processor-internal instruction memory
306
    MEM_INT_IMEM_SIZE            => MEM_INT_IMEM_SIZE,  -- size of processor-internal instruction memory in bytes
307
    -- Internal Data memory --
308
    MEM_INT_DMEM_EN              => MEM_INT_DMEM_EN,    -- implement processor-internal data memory
309
    MEM_INT_DMEM_SIZE            => MEM_INT_DMEM_SIZE,  -- size of processor-internal data memory in bytes
310
    -- Internal Cache memory --
311
    ICACHE_EN                    => ICACHE_EN,          -- implement instruction cache
312
    ICACHE_NUM_BLOCKS            => ICACHE_NUM_BLOCKS,  -- i-cache: number of blocks (min 1), has to be a power of 2
313
    ICACHE_BLOCK_SIZE            => ICACHE_BLOCK_SIZE,  -- i-cache: block size in bytes (min 4), has to be a power of 2
314
    ICACHE_ASSOCIATIVITY         => ICACHE_ASSOCIATIVITY, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
315
    -- External memory interface --
316
    MEM_EXT_EN                   => true,               -- implement external memory bus interface?
317
    MEM_EXT_TIMEOUT              => 0,                  -- cycles after a pending bus access auto-terminates (0 = disabled)
318
    MEM_EXT_PIPE_MODE            => false,              -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
319
    MEM_EXT_BIG_ENDIAN           => false,              -- byte order: true=big-endian, false=little-endian
320
    MEM_EXT_ASYNC_RX             => false,              -- use register buffer for RX data when false
321
    -- External Interrupts Controller (XIRQ) --
322
    XIRQ_NUM_CH                  => XIRQ_NUM_CH, -- number of external IRQ channels (0..32)
323
    XIRQ_TRIGGER_TYPE            => XIRQ_TRIGGER_TYPE_INT, -- trigger type: 0=level, 1=edge
324
    XIRQ_TRIGGER_POLARITY        => XIRQ_TRIGGER_POLARITY_INT, -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
325
    -- Processor peripherals --
326
    IO_GPIO_EN                   => IO_GPIO_EN,         -- implement general purpose input/output port unit (GPIO)?
327
    IO_MTIME_EN                  => IO_MTIME_EN,        -- implement machine system timer (MTIME)?
328
    IO_UART0_EN                  => IO_UART0_EN,        -- implement primary universal asynchronous receiver/transmitter (UART0)?
329 65 zero_gravi
    IO_UART0_RX_FIFO             => IO_UART0_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
330
    IO_UART0_TX_FIFO             => IO_UART0_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
331 63 zero_gravi
    IO_UART1_EN                  => IO_UART1_EN,        -- implement secondary universal asynchronous receiver/transmitter (UART1)?
332 65 zero_gravi
    IO_UART1_RX_FIFO             => IO_UART1_RX_FIFO,   -- RX fifo depth, has to be a power of two, min 1
333
    IO_UART1_TX_FIFO             => IO_UART1_TX_FIFO,   -- TX fifo depth, has to be a power of two, min 1
334 63 zero_gravi
    IO_SPI_EN                    => IO_SPI_EN,          -- implement serial peripheral interface (SPI)?
335
    IO_TWI_EN                    => IO_TWI_EN,          -- implement two-wire interface (TWI)?
336
    IO_PWM_NUM_CH                => IO_PWM_NUM_CH,      -- number of PWM channels to implement (0..60); 0 = disabled
337
    IO_WDT_EN                    => IO_WDT_EN,          -- implement watch dog timer (WDT)?
338
    IO_TRNG_EN                   => IO_TRNG_EN,         -- implement true random number generator (TRNG)?
339
    IO_CFS_EN                    => IO_CFS_EN,          -- implement custom functions subsystem (CFS)?
340
    IO_CFS_CONFIG                => IO_CFS_CONFIG_INT,  -- custom CFS configuration generic
341
    IO_CFS_IN_SIZE               => IO_CFS_IN_SIZE,     -- size of CFS input conduit in bits
342
    IO_CFS_OUT_SIZE              => IO_CFS_OUT_SIZE,    -- size of CFS output conduit in bits
343 65 zero_gravi
    IO_NEOLED_EN                 => IO_NEOLED_EN,       -- implement NeoPixel-compatible smart LED interface (NEOLED)?
344
    IO_NEOLED_TX_FIFO            => IO_NEOLED_TX_FIFO   -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
345 63 zero_gravi
  )
346
  port map (
347
    -- Global control --
348
    clk_i       => clk_i_int,       -- global clock, rising edge
349
    rstn_i      => rstn_i_int,      -- global reset, low-active, async
350
    -- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
351
    jtag_trst_i => jtag_trst_i_int, -- low-active TAP reset (optional)
352
    jtag_tck_i  => jtag_tck_i_int,  -- serial clock
353
    jtag_tdi_i  => jtag_tdi_i_int,  -- serial data input
354
    jtag_tdo_o  => jtag_tdo_o_int,  -- serial data output
355
    jtag_tms_i  => jtag_tms_i_int,  -- mode select
356
    -- Wishbone bus interface (available if MEM_EXT_EN = true) --
357
    wb_tag_o    => wb_core.tag,     -- tag
358
    wb_adr_o    => wb_core.adr,     -- address
359
    wb_dat_i    => wb_core.di,      -- read data
360
    wb_dat_o    => wb_core.do,      -- write data
361
    wb_we_o     => wb_core.we,      -- read/write
362
    wb_sel_o    => wb_core.sel,     -- byte enable
363
    wb_stb_o    => wb_core.stb,     -- strobe
364
    wb_cyc_o    => wb_core.cyc,     -- valid cycle
365
    wb_lock_o   => wb_core.lock,    -- exclusive access request
366
    wb_ack_i    => wb_core.ack,     -- transfer acknowledge
367
    wb_err_i    => wb_core.err,     -- transfer error
368
    -- Advanced memory control signals (available if MEM_EXT_EN = true) --
369
    fence_o     => open,            -- indicates an executed FENCE operation
370
    fencei_o    => open,            -- indicates an executed FENCEI operation
371
    -- GPIO (available if IO_GPIO_EN = true) --
372
    gpio_o      => gpio_o_int,      -- parallel output
373
    gpio_i      => gpio_i_int,      -- parallel input
374
    -- primary UART0 (available if IO_UART0_EN = true) --
375
    uart0_txd_o => uart0_txd_o_int, -- UART0 send data
376
    uart0_rxd_i => uart0_rxd_i_int, -- UART0 receive data
377
    uart0_rts_o => uart0_rts_o_int, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
378
    uart0_cts_i => uart0_cts_i_int, -- hw flow control: UART0.TX allowed to transmit, low-active, optional
379
    -- secondary UART1 (available if IO_UART1_EN = true) --
380
    uart1_txd_o => uart1_txd_o_int, -- UART1 send data
381
    uart1_rxd_i => uart1_rxd_i_int, -- UART1 receive data
382
    uart1_rts_o => uart1_rts_o_int, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
383
    uart1_cts_i => uart1_cts_i_int, -- hw flow control: UART1.TX allowed to transmit, low-active, optional
384
    -- SPI (available if IO_SPI_EN = true) --
385
    spi_sck_o   => spi_sck_o_int,   -- SPI serial clock
386
    spi_sdo_o   => spi_sdo_o_int,   -- controller data out, peripheral data in
387
    spi_sdi_i   => spi_sdi_i_int,   -- controller data in, peripheral data out
388
    spi_csn_o   => spi_csn_o_int,   -- SPI CS
389
    -- TWI (available if IO_TWI_EN = true) --
390
    twi_sda_io  => twi_sda_io,      -- twi serial data line
391
    twi_scl_io  => twi_scl_io,      -- twi serial clock line
392
    -- PWM available if IO_PWM_NUM_CH > 0) --
393
    pwm_o       => pwm_o_int,       -- pwm channels
394
    -- Custom Functions Subsystem IO (available if IO_CFS_EN = true) --
395
    cfs_in_i    => cfs_in_i_int,    -- custom inputs
396
    cfs_out_o   => cfs_out_o_int,   -- custom outputs
397
    -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
398
    neoled_o    => neoled_o_int,    -- async serial data line
399
    -- System time --
400
    mtime_i     => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false)
401
    mtime_o     => open,            -- current system time from int. MTIME (if IO_MTIME_EN = true)
402
    -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
403
    xirq_i      => xirq_i_int,      -- IRQ channels
404
    -- CPU Interrupts --
405
    mtime_irq_i => '0',             -- machine timer interrupt, available if IO_MTIME_EN = false
406
    msw_irq_i   => msw_irq_i_int,   -- machine software interrupt
407
    mext_irq_i  => mext_irq_i_int   -- machine external interrupt
408
  );
409
 
410
  -- type conversion --
411
  gpio_o          <= std_logic_vector(gpio_o_int);
412
  gpio_i_int      <= std_ulogic_vector(gpio_i);
413
 
414
  jtag_trst_i_int <= std_ulogic(jtag_trst_i);
415
  jtag_tck_i_int  <= std_ulogic(jtag_tck_i);
416
  jtag_tdi_i_int  <= std_ulogic(jtag_tdi_i);
417
  jtag_tdo_o      <= std_logic(jtag_tdo_o_int);
418
  jtag_tms_i_int  <= std_ulogic(jtag_tms_i);
419
 
420
  uart0_txd_o     <= std_logic(uart0_txd_o_int);
421
  uart0_rxd_i_int <= std_ulogic(uart0_rxd_i);
422 65 zero_gravi
  uart0_rts_o     <= std_logic(uart0_rts_o_int);
423
  uart0_cts_i_int <= std_ulogic(uart0_cts_i);
424
  uart1_txd_o     <= std_logic(uart1_txd_o_int);
425
  uart1_rxd_i_int <= std_ulogic(uart1_rxd_i);
426
  uart1_rts_o     <= std_logic(uart1_rts_o_int);
427
  uart1_cts_i_int <= std_ulogic(uart1_cts_i);
428 63 zero_gravi
 
429
  spi_sck_o       <= std_logic(spi_sck_o_int);
430
  spi_sdo_o       <= std_logic(spi_sdo_o_int);
431
  spi_sdi_i_int   <= std_ulogic(spi_sdi_i);
432
  spi_csn_o       <= std_logic_vector(spi_csn_o_int);
433
 
434
  pwm_o           <= std_logic_vector(pwm_o_int);
435
 
436
  cfs_in_i_int    <= std_ulogic_vector(cfs_in_i);
437
  cfs_out_o       <= std_logic_vector(cfs_out_o_int);
438
 
439
  neoled_o        <= std_logic(neoled_o_int);
440
 
441 64 zero_gravi
  xirq_i_int      <= std_ulogic_vector(xirq_i);
442
 
443
  msw_irq_i_int   <= std_ulogic(msw_irq_i);
444 63 zero_gravi
  mext_irq_i_int  <= std_ulogic(mext_irq_i);
445
 
446
 
447
  -- Wishbone to AXI4-Lite Bridge -----------------------------------------------------------
448
  -- -------------------------------------------------------------------------------------------
449
 
450
  -- access arbiter --
451
  axi_access_arbiter: process(rstn_i_int, clk_i_int)
452
  begin
453
    if (rstn_i_int = '0') then
454
      ctrl.radr_received <= '0';
455
      ctrl.wadr_received <= '0';
456
      ctrl.wdat_received <= '0';
457
    elsif rising_edge(clk_i_int) then
458
      if (wb_core.cyc = '0') then -- idle
459
        ctrl.radr_received <= '0';
460
        ctrl.wadr_received <= '0';
461
        ctrl.wdat_received <= '0';
462
      else -- busy
463
        -- "read address received" flag --
464
        if (wb_core.we = '0') then -- pending READ
465
          if (m_axi_arready = '1') then -- read address received by interconnect?
466
            ctrl.radr_received <= '1';
467
          end if;
468
        end if;
469
        -- "write address received" flag --
470
        if (wb_core.we = '1') then -- pending WRITE
471
          if (m_axi_awready = '1') then -- write address received by interconnect?
472
            ctrl.wadr_received <= '1';
473
          end if;
474
        end if;
475
        -- "write data received" flag --
476
        if (wb_core.we = '1') then -- pending WRITE
477
          if (m_axi_wready = '1') then -- write data received by interconnect?
478
            ctrl.wdat_received <= '1';
479
          end if;
480
        end if;
481
      end if;
482
    end if;
483
  end process axi_access_arbiter;
484
 
485
 
486
  -- AXI4-Lite Global Signals --
487
  clk_i_int     <= std_ulogic(m_axi_aclk);
488
  rstn_i_int    <= std_ulogic(m_axi_aresetn);
489
 
490
 
491
  -- AXI4-Lite Read Address Channel --
492
  m_axi_araddr  <= std_logic_vector(wb_core.adr);
493
  m_axi_arvalid <= std_logic((wb_core.cyc and (not wb_core.we)) and (not ctrl.radr_received));
494
--m_axi_arprot  <= "000"; -- recommended by Xilinx
495
  m_axi_arprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
496
  m_axi_arprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
497
  m_axi_arprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
498
 
499
  -- AXI4-Lite Read Data Channel --
500
  m_axi_rready  <= std_logic(wb_core.cyc and (not wb_core.we));
501
  wb_core.di    <= std_ulogic_vector(m_axi_rdata);
502
  ack_read      <= std_ulogic(m_axi_rvalid);
503
  err_read      <= '0' when (m_axi_rresp = "00") else '1'; -- read response = ok? check this signal only when m_axi_rvalid = '1'
504
 
505
 
506
  -- AXI4-Lite Write Address Channel --
507
  m_axi_awaddr  <= std_logic_vector(wb_core.adr);
508
  m_axi_awvalid <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wadr_received));
509
--m_axi_awprot  <= "000"; -- recommended by Xilinx
510
  m_axi_awprot(0) <= wb_core.tag(0); -- 0:unprivileged access, 1:privileged access
511
  m_axi_awprot(1) <= wb_core.tag(1); -- 0:secure access, 1:non-secure access
512
  m_axi_awprot(2) <= wb_core.tag(2); -- 0:data access, 1:instruction access
513
 
514
  -- AXI4-Lite Write Data Channel --
515
  m_axi_wdata   <= std_logic_vector(wb_core.do);
516
  m_axi_wvalid  <= std_logic((wb_core.cyc and wb_core.we) and (not ctrl.wdat_received));
517
  m_axi_wstrb   <= std_logic_vector(wb_core.sel); -- byte-enable
518
 
519
  -- AXI4-Lite Write Response Channel --
520
  m_axi_bready  <= std_logic(wb_core.cyc and wb_core.we);
521
  ack_write     <= std_ulogic(m_axi_bvalid);
522
  err_write     <= '0' when (m_axi_bresp = "00") else '1'; -- write response = ok? check this signal only when m_axi_bvalid = '1'
523
 
524
 
525
  -- Wishbone transfer termination --
526
  wb_core.ack   <= ack_read or ack_write;
527
  wb_core.err   <= (ack_read and err_read) or (ack_write and err_write) or wb_core.lock;
528
 
529
 
530
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.