OpenCores
URL https://opencores.org/ocsvn/nfhc/nfhc/trunk

Subversion Repositories nfhc

[/] [nfhc/] [trunk/] [sha1/] [sha1.pat] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
 
2
-- description generated by Pat driver
3
 
4
--                      date     : Sun Oct  4 19:23:31 2009
5
--                      revision : v109
6
 
7
--                      sequence : sha1
8
 
9
-- input / output list :
10
in       clk B;;
11
in       rst B;;
12
in       ld B;;
13
in       m (31 downto 0) X;;;
14
in       init B;;;
15
out      h (31 downto 0) X;;;
16
out      v B;;
17
in       vss B;;
18
in       vdd B;;
19
 
20
begin
21
 
22
-- Pattern description :
23
 
24
--                        c r l m         i   h          v v v
25
--                        l s d           n                s d
26
--                        k t             i                s d
27
--                                        t
28
 
29
 
30
-- Beware : unprocessed patterns
31
 
32
<          0 ns>        : 0 1 0 00000000  0  ?********  ?* 0 1 ;
33
<         50 ns>        : 1 1 0 00000000  0  ?********  ?* 0 1 ;
34
<        100 ns>        : 0 0 1 61626380  1  ?********  ?* 0 1 ;
35
<        150 ns>        : 1 0 1 61626380  1  ?********  ?* 0 1 ;
36
<        200 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
37
<        250 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
38
<        300 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
39
<        350 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
40
<        400 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
41
<        450 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
42
<        500 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
43
<        550 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
44
<        600 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
45
<        650 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
46
<        700 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
47
<        750 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
48
<        800 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
49
<        850 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
50
<        900 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
51
<        950 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
52
<       1000 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
53
<       1050 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
54
<       1100 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
55
<       1150 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
56
<       1200 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
57
<       1250 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
58
<       1300 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
59
<       1350 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
60
<       1400 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
61
<       1450 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
62
<       1500 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
63
<       1550 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
64
<       1600 ns>        : 0 0 1 00000018  1  ?********  ?* 0 1 ;
65
<       1650 ns>        : 1 0 1 00000018  1  ?********  ?* 0 1 ;
66
<       1700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
67
<       1750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
68
<       1800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
69
<       1850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
70
<       1900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
71
<       1950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
72
<       2000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
73
<       2050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
74
<       2100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
75
<       2150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
76
<       2200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
77
<       2250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
78
<       2300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
79
<       2350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
80
<       2400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
81
<       2450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
82
<       2500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
83
<       2550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
84
<       2600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
85
<       2650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
86
<       2700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
87
<       2750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
88
<       2800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
89
<       2850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
90
<       2900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
91
<       2950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
92
<       3000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
93
<       3050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
94
<       3100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
95
<       3150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
96
<       3200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
97
<       3250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
98
<       3300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
99
<       3350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
100
<       3400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
101
<       3450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
102
<       3500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
103
<       3550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
104
<       3600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
105
<       3650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
106
<       3700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
107
<       3750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
108
<       3800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
109
<       3850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
110
<       3900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
111
<       3950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
112
<       4000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
113
<       4050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
114
<       4100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
115
<       4150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
116
<       4200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
117
<       4250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
118
<       4300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
119
<       4350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
120
<       4400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
121
<       4450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
122
<       4500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
123
<       4550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
124
<       4600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
125
<       4650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
126
<       4700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
127
<       4750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
128
<       4800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
129
<       4850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
130
<       4900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
131
<       4950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
132
<       5000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
133
<       5050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
134
<       5100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
135
<       5150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
136
<       5200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
137
<       5250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
138
<       5300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
139
<       5350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
140
<       5400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
141
<       5450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
142
<       5500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
143
<       5550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
144
<       5600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
145
<       5650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
146
<       5700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
147
<       5750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
148
<       5800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
149
<       5850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
150
<       5900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
151
<       5950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
152
<       6000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
153
<       6050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
154
<       6100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
155
<       6150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
156
<       6200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
157
<       6250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
158
<       6300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
159
<       6350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
160
<       6400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
161
<       6450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
162
<       6500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
163
<       6550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
164
<       6600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
165
<       6650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
166
<       6700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
167
<       6750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
168
<       6800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
169
<       6850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
170
<       6900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
171
<       6950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
172
<       7000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
173
<       7050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
174
<       7100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
175
<       7150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
176
<       7200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
177
<       7250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
178
<       7300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
179
<       7350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
180
<       7400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
181
<       7450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
182
<       7500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
183
<       7550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
184
<       7600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
185
<       7650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
186
<       7700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
187
<       7750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
188
<       7800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
189
<       7850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
190
<       7900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
191
<       7950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
192
<       8000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
193
<       8050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
194
<       8100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
195
<       8150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
196
<       8200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
197
<       8250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
198
<       8300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
199
<       8350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
200
<       8400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
201
<       8450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
202
<       8500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
203
<       8550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
204
<       8600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
205
<       8650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
206
<       8700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
207
<       8750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
208
<       8800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
209
<       8850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
210
<       8900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
211
<       8950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
212
<       9000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
213
<       9050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
214
<       9100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
215
<       9150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
216
<       9200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
217
<       9250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
218
<       9300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
219
<       9350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
220
<       9400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
221
<       9450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
222
<       9500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
223
<       9550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
224
<       9600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
225
<       9650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
226
<       9700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
227
<       9750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
228
<       9800 ns>        : 0 0 1 61626364  1  ?********  ?* 0 1 ;
229
<       9850 ns>        : 1 0 1 61626364  1  ?********  ?* 0 1 ;
230
<       9900 ns>        : 0 0 1 62636465  1  ?********  ?* 0 1 ;
231
<       9950 ns>        : 1 0 1 62636465  1  ?********  ?* 0 1 ;
232
<      10000 ns>        : 0 0 1 63646566  1  ?********  ?* 0 1 ;
233
<      10050 ns>        : 1 0 1 63646566  1  ?********  ?* 0 1 ;
234
<      10100 ns>        : 0 0 1 64656667  1  ?********  ?* 0 1 ;
235
<      10150 ns>        : 1 0 1 64656667  1  ?********  ?* 0 1 ;
236
<      10200 ns>        : 0 0 1 65666768  1  ?********  ?* 0 1 ;
237
<      10250 ns>        : 1 0 1 65666768  1  ?********  ?* 0 1 ;
238
<      10300 ns>        : 0 0 1 66676869  1  ?********  ?* 0 1 ;
239
<      10350 ns>        : 1 0 1 66676869  1  ?********  ?* 0 1 ;
240
<      10400 ns>        : 0 0 1 6768696a  1  ?********  ?* 0 1 ;
241
<      10450 ns>        : 1 0 1 6768696a  1  ?********  ?* 0 1 ;
242
<      10500 ns>        : 0 0 1 68696a6b  1  ?********  ?* 0 1 ;
243
<      10550 ns>        : 1 0 1 68696a6b  1  ?********  ?* 0 1 ;
244
<      10600 ns>        : 0 0 1 696a6b6c  1  ?********  ?* 0 1 ;
245
<      10650 ns>        : 1 0 1 696a6b6c  1  ?********  ?* 0 1 ;
246
<      10700 ns>        : 0 0 1 6a6b6c6d  1  ?********  ?* 0 1 ;
247
<      10750 ns>        : 1 0 1 6a6b6c6d  1  ?********  ?* 0 1 ;
248
<      10800 ns>        : 0 0 1 6b6c6d6e  1  ?********  ?* 0 1 ;
249
<      10850 ns>        : 1 0 1 6b6c6d6e  1  ?********  ?* 0 1 ;
250
<      10900 ns>        : 0 0 1 6c6d6e6f  1  ?********  ?* 0 1 ;
251
<      10950 ns>        : 1 0 1 6c6d6e6f  1  ?********  ?* 0 1 ;
252
<      11000 ns>        : 0 0 1 6d6e6f70  1  ?********  ?* 0 1 ;
253
<      11050 ns>        : 1 0 1 6d6e6f70  1  ?********  ?* 0 1 ;
254
<      11100 ns>        : 0 0 1 6e6f7071  1  ?********  ?* 0 1 ;
255
<      11150 ns>        : 1 0 1 6e6f7071  1  ?********  ?* 0 1 ;
256
<      11200 ns>        : 0 0 1 80000000  1  ?********  ?* 0 1 ;
257
<      11250 ns>        : 1 0 1 80000000  1  ?********  ?* 0 1 ;
258
<      11300 ns>        : 0 0 1 00000000  1  ?********  ?* 0 1 ;
259
<      11350 ns>        : 1 0 1 00000000  1  ?********  ?* 0 1 ;
260
<      11400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
261
<      11450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
262
<      11500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
263
<      11550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
264
<      11600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
265
<      11650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
266
<      11700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
267
<      11750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
268
<      11800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
269
<      11850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
270
<      11900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
271
<      11950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
272
<      12000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
273
<      12050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
274
<      12100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
275
<      12150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
276
<      12200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
277
<      12250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
278
<      12300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
279
<      12350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
280
<      12400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
281
<      12450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
282
<      12500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
283
<      12550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
284
<      12600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
285
<      12650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
286
<      12700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
287
<      12750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
288
<      12800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
289
<      12850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
290
<      12900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
291
<      12950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
292
<      13000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
293
<      13050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
294
<      13100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
295
<      13150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
296
<      13200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
297
<      13250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
298
<      13300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
299
<      13350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
300
<      13400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
301
<      13450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
302
<      13500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
303
<      13550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
304
<      13600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
305
<      13650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
306
<      13700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
307
<      13750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
308
<      13800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
309
<      13850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
310
<      13900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
311
<      13950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
312
<      14000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
313
<      14050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
314
<      14100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
315
<      14150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
316
<      14200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
317
<      14250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
318
<      14300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
319
<      14350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
320
<      14400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
321
<      14450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
322
<      14500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
323
<      14550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
324
<      14600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
325
<      14650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
326
<      14700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
327
<      14750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
328
<      14800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
329
<      14850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
330
<      14900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
331
<      14950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
332
<      15000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
333
<      15050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
334
<      15100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
335
<      15150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
336
<      15200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
337
<      15250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
338
<      15300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
339
<      15350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
340
<      15400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
341
<      15450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
342
<      15500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
343
<      15550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
344
<      15600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
345
<      15650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
346
<      15700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
347
<      15750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
348
<      15800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
349
<      15850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
350
<      15900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
351
<      15950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
352
<      16000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
353
<      16050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
354
<      16100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
355
<      16150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
356
<      16200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
357
<      16250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
358
<      16300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
359
<      16350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
360
<      16400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
361
<      16450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
362
<      16500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
363
<      16550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
364
<      16600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
365
<      16650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
366
<      16700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
367
<      16750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
368
<      16800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
369
<      16850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
370
<      16900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
371
<      16950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
372
<      17000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
373
<      17050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
374
<      17100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
375
<      17150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
376
<      17200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
377
<      17250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
378
<      17300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
379
<      17350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
380
<      17400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
381
<      17450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
382
<      17500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
383
<      17550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
384
<      17600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
385
<      17650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
386
<      17700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
387
<      17750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
388
<      17800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
389
<      17850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
390
<      17900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
391
<      17950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
392
<      18000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
393
<      18050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
394
<      18100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
395
<      18150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
396
<      18200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
397
<      18250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
398
<      18300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
399
<      18350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
400
<      18400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
401
<      18450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
402
<      18500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
403
<      18550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
404
<      18600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
405
<      18650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
406
<      18700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
407
<      18750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
408
<      18800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
409
<      18850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
410
<      18900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
411
<      18950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
412
<      19000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
413
<      19050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
414
<      19100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
415
<      19150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
416
<      19200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
417
<      19250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
418
<      19300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
419
<      19350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
420
<      19400 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
421
<      19450 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
422
<      19500 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
423
<      19550 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
424
<      19600 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
425
<      19650 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
426
<      19700 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
427
<      19750 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
428
<      19800 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
429
<      19850 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
430
<      19900 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
431
<      19950 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
432
<      20000 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
433
<      20050 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
434
<      20100 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
435
<      20150 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
436
<      20200 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
437
<      20250 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
438
<      20300 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
439
<      20350 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
440
<      20400 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
441
<      20450 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
442
<      20500 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
443
<      20550 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
444
<      20600 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
445
<      20650 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
446
<      20700 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
447
<      20750 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
448
<      20800 ns>        : 0 0 1 00000000  0  ?********  ?* 0 1 ;
449
<      20850 ns>        : 1 0 1 00000000  0  ?********  ?* 0 1 ;
450
<      20900 ns>        : 0 0 1 000001c0  0  ?********  ?* 0 1 ;
451
<      20950 ns>        : 1 0 1 000001c0  0  ?********  ?* 0 1 ;
452
<      21000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
453
<      21050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
454
<      21100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
455
<      21150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
456
<      21200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
457
<      21250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
458
<      21300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
459
<      21350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
460
<      21400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
461
<      21450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
462
<      21500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
463
<      21550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
464
<      21600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
465
<      21650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
466
<      21700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
467
<      21750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
468
<      21800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
469
<      21850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
470
<      21900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
471
<      21950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
472
<      22000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
473
<      22050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
474
<      22100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
475
<      22150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
476
<      22200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
477
<      22250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
478
<      22300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
479
<      22350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
480
<      22400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
481
<      22450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
482
<      22500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
483
<      22550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
484
<      22600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
485
<      22650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
486
<      22700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
487
<      22750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
488
<      22800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
489
<      22850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
490
<      22900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
491
<      22950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
492
<      23000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
493
<      23050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
494
<      23100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
495
<      23150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
496
<      23200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
497
<      23250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
498
<      23300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
499
<      23350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
500
<      23400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
501
<      23450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
502
<      23500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
503
<      23550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
504
<      23600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
505
<      23650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
506
<      23700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
507
<      23750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
508
<      23800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
509
<      23850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
510
<      23900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
511
<      23950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
512
<      24000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
513
<      24050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
514
<      24100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
515
<      24150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
516
<      24200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
517
<      24250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
518
<      24300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
519
<      24350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
520
<      24400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
521
<      24450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
522
<      24500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
523
<      24550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
524
<      24600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
525
<      24650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
526
<      24700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
527
<      24750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
528
<      24800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
529
<      24850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
530
<      24900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
531
<      24950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
532
<      25000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
533
<      25050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
534
<      25100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
535
<      25150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
536
<      25200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
537
<      25250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
538
<      25300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
539
<      25350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
540
<      25400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
541
<      25450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
542
<      25500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
543
<      25550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
544
<      25600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
545
<      25650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
546
<      25700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
547
<      25750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
548
<      25800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
549
<      25850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
550
<      25900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
551
<      25950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
552
<      26000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
553
<      26050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
554
<      26100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
555
<      26150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
556
<      26200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
557
<      26250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
558
<      26300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
559
<      26350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
560
<      26400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
561
<      26450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
562
<      26500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
563
<      26550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
564
<      26600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
565
<      26650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
566
<      26700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
567
<      26750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
568
<      26800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
569
<      26850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
570
<      26900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
571
<      26950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
572
<      27000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
573
<      27050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
574
<      27100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
575
<      27150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
576
<      27200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
577
<      27250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
578
<      27300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
579
<      27350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
580
<      27400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
581
<      27450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
582
<      27500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
583
<      27550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
584
<      27600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
585
<      27650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
586
<      27700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
587
<      27750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
588
<      27800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
589
<      27850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
590
<      27900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
591
<      27950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
592
<      28000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
593
<      28050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
594
<      28100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
595
<      28150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
596
<      28200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
597
<      28250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
598
<      28300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
599
<      28350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
600
<      28400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
601
<      28450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
602
<      28500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
603
<      28550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
604
<      28600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
605
<      28650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
606
<      28700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
607
<      28750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
608
<      28800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
609
<      28850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
610
<      28900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
611
<      28950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
612
<      29000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
613
<      29050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
614
<      29100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
615
<      29150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
616
<      29200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
617
<      29250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
618
<      29300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
619
<      29350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
620
<      29400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
621
<      29450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
622
<      29500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
623
<      29550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
624
<      29600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
625
<      29650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
626
<      29700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
627
<      29750 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
628
<      29800 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
629
<      29850 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
630
<      29900 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
631
<      29950 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
632
<      30000 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
633
<      30050 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
634
<      30100 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
635
<      30150 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
636
<      30200 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
637
<      30250 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
638
<      30300 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
639
<      30350 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
640
<      30400 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
641
<      30450 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
642
<      30500 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
643
<      30550 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
644
<      30600 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
645
<      30650 ns>        : 1 0 0 00000000  0  ?********  ?* 0 1 ;
646
<      30700 ns>        : 0 0 0 00000000  0  ?********  ?* 0 1 ;
647
 
648
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.