OpenCores
URL https://opencores.org/ocsvn/noekeoncore/noekeoncore/trunk

Subversion Repositories noekeoncore

[/] [noekeoncore/] [trunk/] [rtl/] [g_m_4.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
 
2
-- Copyright (c) 2013 Antonio de la Piedra
3
 
4
-- This program is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
 
9
-- This program is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
16
 
17
library IEEE;
18
use IEEE.STD_LOGIC_1164.ALL;
19
 
20
entity g_m_4 is
21
        port(clk : in std_logic;
22
                  a_0_in : in std_logic_vector(31 downto 0);
23
                  a_1_in : in std_logic_vector(31 downto 0);
24
                  a_2_in : in std_logic_vector(31 downto 0);
25
                  a_3_in : in std_logic_vector(31 downto 0);
26
                  a_2_out : out std_logic_vector(31 downto 0));
27
end g_m_4;
28
 
29
architecture Behavioral of g_m_4 is
30
 
31
begin
32
 
33
        a_2_out <= a_0_in xor a_1_in xor a_2_in xor a_3_in;
34
 
35
end Behavioral;
36
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.