OpenCores
URL https://opencores.org/ocsvn/noekeoncore/noekeoncore/trunk

Subversion Repositories noekeoncore

[/] [noekeoncore/] [trunk/] [rtl/] [pi_1.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
 
2
-- Copyright (c) 2013 Antonio de la Piedra
3
 
4
-- This program is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
 
9
-- This program is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
16
 
17
library IEEE;
18
use IEEE.STD_LOGIC_1164.ALL;
19
 
20
entity pi_1 is
21
        port(a_1_in     : in std_logic_vector(31 downto 0);
22
                  a_2_in        : in std_logic_vector(31 downto 0);
23
                  a_3_in        : in std_logic_vector(31 downto 0);
24
                  a_1_out       : out std_logic_vector(31 downto 0);
25
                  a_2_out       : out std_logic_vector(31 downto 0);
26
                  a_3_out       : out std_logic_vector(31 downto 0));
27
end pi_1;
28
 
29
architecture Behavioral of pi_1 is
30
 
31
begin
32
 
33
        a_1_out <= a_1_in(30 downto 0) & a_1_in(31);
34
        a_2_out <= a_2_in(26 downto 0) & a_2_in(31 downto 27);
35
        a_3_out <= a_3_in(29 downto 0) & a_3_in(31 downto 30);
36
 
37
end Behavioral;
38
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.