OpenCores
URL https://opencores.org/ocsvn/noekeoncore/noekeoncore/trunk

Subversion Repositories noekeoncore

[/] [noekeoncore/] [trunk/] [rtl/] [t_m_2.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
 
2
-- Copyright (c) 2013 Antonio de la Piedra
3
 
4
-- This program is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
 
9
-- This program is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
 
14
-- You should have received a copy of the GNU General Public License
15
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
16
 
17
library IEEE;
18
use IEEE.STD_LOGIC_1164.ALL;
19
 
20
entity t_m_2 is
21
        port(clk : in std_logic;
22
                  a_0_in : in std_logic_vector(31 downto 0);
23
                  a_1_in : in std_logic_vector(31 downto 0);
24
             a_2_in : in std_logic_vector(31 downto 0);
25
                  a_3_in : in std_logic_vector(31 downto 0);
26
                  k_0_in : in std_logic_vector(31 downto 0);
27
                  k_1_in : in std_logic_vector(31 downto 0);
28
             k_2_in : in std_logic_vector(31 downto 0);
29
                  k_3_in : in std_logic_vector(31 downto 0);
30
                  a_0_out : out std_logic_vector(31 downto 0);
31
                  a_1_out : out std_logic_vector(31 downto 0);
32
             a_2_out : out std_logic_vector(31 downto 0);
33
                  a_3_out : out std_logic_vector(31 downto 0));
34
end t_m_2;
35
 
36
architecture Behavioral of t_m_2 is
37
 
38
begin
39
 
40
        a_0_out <= a_0_in xor k_0_in;
41
        a_1_out <= a_1_in xor k_1_in;
42
        a_2_out <= a_2_in xor k_2_in;
43
        a_3_out <= a_3_in xor k_3_in;
44
 
45
        -- a[0] ^= k[0];                                                tmp_4_s
46
        -- a[1] ^= k[1];                                                tmp_5_s
47
        -- a[2] ^= k[2];                                                tmp_6_s
48
        -- a[3] ^= k[3];                                                tmp_7_s
49
 
50
end Behavioral;
51
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.