OpenCores
URL https://opencores.org/ocsvn/numbert_sort_device/numbert_sort_device/trunk

Subversion Repositories numbert_sort_device

[/] [numbert_sort_device/] [trunk/] [boards/] [DE2-115/] [DE2_115_VGA.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 leshabiruk
#============================================================
2
# Build by Terasic System Builder
3
#============================================================
4
 
5
set_global_assignment -name FAMILY "Cyclone IV E"
6
set_global_assignment -name DEVICE EP4CE115F29C7
7
set_global_assignment -name TOP_LEVEL_ENTITY "DE2_115_VGA"
8
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.0
9
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
10
set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:38:44 APRIL 12,2013"
11
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
12
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 780
13
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
14
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
15
 
16
#============================================================
17
# CLOCK
18
#============================================================
19
set_location_assignment PIN_Y2 -to CLOCK_50
20
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
21
set_location_assignment PIN_AG14 -to CLOCK2_50
22
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50
23
set_location_assignment PIN_AG15 -to CLOCK3_50
24
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50
25
 
26
#============================================================
27
# KEY
28
#============================================================
29
set_location_assignment PIN_M23 -to KEY[0]
30
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
31
set_location_assignment PIN_M21 -to KEY[1]
32
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
33
set_location_assignment PIN_N21 -to KEY[2]
34
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2]
35
set_location_assignment PIN_R24 -to KEY[3]
36
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3]
37
 
38
#============================================================
39
# SW
40
#============================================================
41
set_location_assignment PIN_AB28 -to SW[0]
42
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
43
set_location_assignment PIN_AC28 -to SW[1]
44
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
45
set_location_assignment PIN_AC27 -to SW[2]
46
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
47
set_location_assignment PIN_AD27 -to SW[3]
48
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
49
set_location_assignment PIN_AB27 -to SW[4]
50
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
51
set_location_assignment PIN_AC26 -to SW[5]
52
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
53
set_location_assignment PIN_AD26 -to SW[6]
54
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
55
set_location_assignment PIN_AB26 -to SW[7]
56
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
57
set_location_assignment PIN_AC25 -to SW[8]
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
59
set_location_assignment PIN_AB25 -to SW[9]
60
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
61
set_location_assignment PIN_AC24 -to SW[10]
62
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[10]
63
set_location_assignment PIN_AB24 -to SW[11]
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[11]
65
set_location_assignment PIN_AB23 -to SW[12]
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[12]
67
set_location_assignment PIN_AA24 -to SW[13]
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[13]
69
set_location_assignment PIN_AA23 -to SW[14]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[14]
71
set_location_assignment PIN_AA22 -to SW[15]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[15]
73
set_location_assignment PIN_Y24 -to SW[16]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[16]
75
set_location_assignment PIN_Y23 -to SW[17]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[17]
77
 
78
#============================================================
79
# SEG7
80
#============================================================
81
set_location_assignment PIN_G18 -to HEX0[0]
82
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[0]
83
set_location_assignment PIN_F22 -to HEX0[1]
84
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[1]
85
set_location_assignment PIN_E17 -to HEX0[2]
86
set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[2]
87
set_location_assignment PIN_L26 -to HEX0[3]
88
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
89
set_location_assignment PIN_L25 -to HEX0[4]
90
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
91
set_location_assignment PIN_J22 -to HEX0[5]
92
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
93
set_location_assignment PIN_H22 -to HEX0[6]
94
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
95
set_location_assignment PIN_M24 -to HEX1[0]
96
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
97
set_location_assignment PIN_Y22 -to HEX1[1]
98
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
99
set_location_assignment PIN_W21 -to HEX1[2]
100
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
101
set_location_assignment PIN_W22 -to HEX1[3]
102
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
103
set_location_assignment PIN_W25 -to HEX1[4]
104
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
105
set_location_assignment PIN_U23 -to HEX1[5]
106
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
107
set_location_assignment PIN_U24 -to HEX1[6]
108
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
109
set_location_assignment PIN_AA25 -to HEX2[0]
110
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
111
set_location_assignment PIN_AA26 -to HEX2[1]
112
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
113
set_location_assignment PIN_Y25 -to HEX2[2]
114
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
115
set_location_assignment PIN_W26 -to HEX2[3]
116
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
117
set_location_assignment PIN_Y26 -to HEX2[4]
118
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
119
set_location_assignment PIN_W27 -to HEX2[5]
120
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
121
set_location_assignment PIN_W28 -to HEX2[6]
122
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
123
set_location_assignment PIN_V21 -to HEX3[0]
124
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
125
set_location_assignment PIN_U21 -to HEX3[1]
126
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
127
set_location_assignment PIN_AB20 -to HEX3[2]
128
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
129
set_location_assignment PIN_AA21 -to HEX3[3]
130
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
131
set_location_assignment PIN_AD24 -to HEX3[4]
132
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
133
set_location_assignment PIN_AF23 -to HEX3[5]
134
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
135
set_location_assignment PIN_Y19 -to HEX3[6]
136
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
137
set_location_assignment PIN_AB19 -to HEX4[0]
138
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0]
139
set_location_assignment PIN_AA19 -to HEX4[1]
140
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1]
141
set_location_assignment PIN_AG21 -to HEX4[2]
142
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2]
143
set_location_assignment PIN_AH21 -to HEX4[3]
144
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3]
145
set_location_assignment PIN_AE19 -to HEX4[4]
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4]
147
set_location_assignment PIN_AF19 -to HEX4[5]
148
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5]
149
set_location_assignment PIN_AE18 -to HEX4[6]
150
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6]
151
set_location_assignment PIN_AD18 -to HEX5[0]
152
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0]
153
set_location_assignment PIN_AC18 -to HEX5[1]
154
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1]
155
set_location_assignment PIN_AB18 -to HEX5[2]
156
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2]
157
set_location_assignment PIN_AH19 -to HEX5[3]
158
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3]
159
set_location_assignment PIN_AG19 -to HEX5[4]
160
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4]
161
set_location_assignment PIN_AF18 -to HEX5[5]
162
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5]
163
set_location_assignment PIN_AH18 -to HEX5[6]
164
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6]
165
set_location_assignment PIN_AA17 -to HEX6[0]
166
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[0]
167
set_location_assignment PIN_AB16 -to HEX6[1]
168
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[1]
169
set_location_assignment PIN_AA16 -to HEX6[2]
170
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[2]
171
set_location_assignment PIN_AB17 -to HEX6[3]
172
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[3]
173
set_location_assignment PIN_AB15 -to HEX6[4]
174
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[4]
175
set_location_assignment PIN_AA15 -to HEX6[5]
176
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[5]
177
set_location_assignment PIN_AC17 -to HEX6[6]
178
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[6]
179
set_location_assignment PIN_AD17 -to HEX7[0]
180
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[0]
181
set_location_assignment PIN_AE17 -to HEX7[1]
182
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[1]
183
set_location_assignment PIN_AG17 -to HEX7[2]
184
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[2]
185
set_location_assignment PIN_AH17 -to HEX7[3]
186
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[3]
187
set_location_assignment PIN_AF17 -to HEX7[4]
188
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[4]
189
set_location_assignment PIN_AG18 -to HEX7[5]
190
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[5]
191
set_location_assignment PIN_AA14 -to HEX7[6]
192
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[6]
193
 
194
#============================================================
195
# VGA
196
#============================================================
197
set_location_assignment PIN_G13 -to VGA_HS
198
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
199
set_location_assignment PIN_C13 -to VGA_VS
200
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
201
set_location_assignment PIN_C10 -to VGA_SYNC_N
202
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N
203
set_location_assignment PIN_A12 -to VGA_CLK
204
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK
205
set_location_assignment PIN_F11 -to VGA_BLANK_N
206
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N
207
set_location_assignment PIN_E12 -to VGA_R[0]
208
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
209
set_location_assignment PIN_E11 -to VGA_R[1]
210
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
211
set_location_assignment PIN_D10 -to VGA_R[2]
212
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
213
set_location_assignment PIN_F12 -to VGA_R[3]
214
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
215
set_location_assignment PIN_G10 -to VGA_R[4]
216
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4]
217
set_location_assignment PIN_J12 -to VGA_R[5]
218
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5]
219
set_location_assignment PIN_H8 -to VGA_R[6]
220
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6]
221
set_location_assignment PIN_H10 -to VGA_R[7]
222
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7]
223
set_location_assignment PIN_G8 -to VGA_G[0]
224
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
225
set_location_assignment PIN_G11 -to VGA_G[1]
226
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
227
set_location_assignment PIN_F8 -to VGA_G[2]
228
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
229
set_location_assignment PIN_H12 -to VGA_G[3]
230
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
231
set_location_assignment PIN_C8 -to VGA_G[4]
232
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4]
233
set_location_assignment PIN_B8 -to VGA_G[5]
234
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5]
235
set_location_assignment PIN_F10 -to VGA_G[6]
236
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6]
237
set_location_assignment PIN_C9 -to VGA_G[7]
238
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7]
239
set_location_assignment PIN_B10 -to VGA_B[0]
240
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
241
set_location_assignment PIN_A10 -to VGA_B[1]
242
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
243
set_location_assignment PIN_C11 -to VGA_B[2]
244
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
245
set_location_assignment PIN_B11 -to VGA_B[3]
246
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
247
set_location_assignment PIN_A11 -to VGA_B[4]
248
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4]
249
set_location_assignment PIN_C12 -to VGA_B[5]
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5]
251
set_location_assignment PIN_D11 -to VGA_B[6]
252
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6]
253
set_location_assignment PIN_D12 -to VGA_B[7]
254
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7]
255
 
256
#============================================================
257
# End of pin assignments by Terasic System Builder
258
#============================================================
259
 
260
 
261
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
262
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
263
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
264
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
265
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
266
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
267
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
268
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
269
set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS64
270
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
271
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
272
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
273
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
274
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
275
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/tree_sorter.sv
276
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/stack_sorter.sv
277
set_global_assignment -name VERILOG_FILE ../../altera/VGA_CLK.v
278
set_global_assignment -name VERILOG_FILE ../../utility/VGA_Ctrl.v
279
set_global_assignment -name VERILOG_FILE ../../utility/SEG7_LUT.v
280
set_global_assignment -name VERILOG_FILE ../../test_vga.v
281
set_global_assignment -name VERILOG_FILE DE2_115_VGA.v
282
set_global_assignment -name SDC_FILE DE2_115_VGA.SDC
283
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.