OpenCores
URL https://opencores.org/ocsvn/numbert_sort_device/numbert_sort_device/trunk

Subversion Repositories numbert_sort_device

[/] [numbert_sort_device/] [trunk/] [boards/] [marsohod2/] [cyclone3.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 leshabiruk
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2011 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 32-bit
20
# Version 11.1 Build 259 01/25/2012 Service Pack 2 SJ Web Edition
21
# Date created = 13:54:01  September 03, 2012
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               cyclone3_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
 
40
# Project-Wide Assignments
41
# ========================
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "11.1 SP2"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:58:05  SEPTEMBER 03, 2012"
44
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
45
 
46
# Classic Timing Assignments
47
# ==========================
48
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
49
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
50
 
51
# Analysis & Synthesis Assignments
52
# ================================
53
set_global_assignment -name FAMILY "Cyclone III"
54
set_global_assignment -name TOP_LEVEL_ENTITY MARS_VGA
55
 
56
# Fitter Assignments
57
# ==================
58
set_global_assignment -name DEVICE EP3C10E144C8
59
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
60
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
61
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "AS INPUT TRI-STATED"
62
 
63
set_location_assignment PIN_24 -to FTDI_BD0
64
set_location_assignment PIN_28 -to FTDI_BD1
65
set_location_assignment PIN_11 -to FTDI_BD2
66
set_location_assignment PIN_10 -to FTDI_BD3
67
 
68
set_location_assignment PIN_25 -to CLK100MHZ
69
set_location_assignment PIN_23 -to KEY0
70
set_location_assignment PIN_22 -to KEY1
71
 
72
set_location_assignment PIN_30 -to SDRAM_DQ[15]
73
set_location_assignment PIN_31 -to SDRAM_DQ[14]
74
set_location_assignment PIN_32 -to SDRAM_DQ[13]
75
set_location_assignment PIN_33 -to SDRAM_DQ[12]
76
set_location_assignment PIN_34 -to SDRAM_DQ[11]
77
set_location_assignment PIN_38 -to SDRAM_DQ[10]
78
set_location_assignment PIN_39 -to SDRAM_DQ[9]
79
set_location_assignment PIN_42 -to SDRAM_DQ[8]
80
 
81
set_location_assignment PIN_71 -to SDRAM_DQ[7]
82
set_location_assignment PIN_72 -to SDRAM_DQ[6]
83
set_location_assignment PIN_73 -to SDRAM_DQ[5]
84
set_location_assignment PIN_74 -to SDRAM_DQ[4]
85
set_location_assignment PIN_75 -to SDRAM_DQ[3]
86
set_location_assignment PIN_76 -to SDRAM_DQ[2]
87
set_location_assignment PIN_77 -to SDRAM_DQ[1]
88
set_location_assignment PIN_80 -to SDRAM_DQ[0]
89
 
90
set_location_assignment PIN_60 -to SDRAM_A[0]
91
set_location_assignment PIN_64 -to SDRAM_A[1]
92
set_location_assignment PIN_65 -to SDRAM_A[2]
93
set_location_assignment PIN_66 -to SDRAM_A[3]
94
set_location_assignment PIN_46 -to SDRAM_A[4]
95
set_location_assignment PIN_49 -to SDRAM_A[5]
96
set_location_assignment PIN_50 -to SDRAM_A[6]
97
set_location_assignment PIN_51 -to SDRAM_A[7]
98
set_location_assignment PIN_52 -to SDRAM_A[8]
99
set_location_assignment PIN_53 -to SDRAM_A[9]
100
set_location_assignment PIN_59 -to SDRAM_A[10]
101
set_location_assignment PIN_54 -to SDRAM_A[11]
102
 
103
set_location_assignment PIN_70 -to SDRAM_LDQM
104
set_location_assignment PIN_43 -to SDRAM_UDQM
105
 
106
set_location_assignment PIN_55 -to SDRAM_BA0
107
set_location_assignment PIN_58 -to SDRAM_BA1
108
 
109
set_location_assignment PIN_67 -to SDRAM_RAS
110
set_location_assignment PIN_68 -to SDRAM_CAS
111
set_location_assignment PIN_69 -to SDRAM_WE
112
set_location_assignment PIN_44 -to SDRAM_CLK
113
 
114
set_location_assignment PIN_79 -to LED[3]
115
set_location_assignment PIN_83 -to LED[2]
116
set_location_assignment PIN_84 -to LED[1]
117
set_location_assignment PIN_85 -to LED[0]
118
 
119
set_location_assignment PIN_144 -to VGA_RED[4]
120
set_location_assignment PIN_1 -to VGA_RED[3]
121
set_location_assignment PIN_2 -to VGA_RED[2]
122
set_location_assignment PIN_3 -to VGA_RED[1]
123
set_location_assignment PIN_7 -to VGA_RED[0]
124
set_location_assignment PIN_136 -to VGA_GREEN[5]
125
set_location_assignment PIN_137 -to VGA_GREEN[4]
126
set_location_assignment PIN_138 -to VGA_GREEN[3]
127
set_location_assignment PIN_141 -to VGA_GREEN[2]
128
set_location_assignment PIN_142 -to VGA_GREEN[1]
129
set_location_assignment PIN_143 -to VGA_GREEN[0]
130
set_location_assignment PIN_128 -to VGA_BLUE[4]
131
set_location_assignment PIN_129 -to VGA_BLUE[3]
132
set_location_assignment PIN_132 -to VGA_BLUE[2]
133
set_location_assignment PIN_133 -to VGA_BLUE[1]
134
set_location_assignment PIN_135 -to VGA_BLUE[0]
135
set_location_assignment PIN_127 -to VGA_HSYNC
136
set_location_assignment PIN_126 -to VGA_VSYNC
137
 
138
set_location_assignment PIN_100 -to ADC_D[0]
139
set_location_assignment PIN_99 -to ADC_D[1]
140
set_location_assignment PIN_98 -to ADC_D[2]
141
set_location_assignment PIN_91 -to ADC_D[3]
142
set_location_assignment PIN_90 -to ADC_D[4]
143
set_location_assignment PIN_89 -to ADC_D[5]
144
set_location_assignment PIN_88 -to ADC_D[6]
145
set_location_assignment PIN_87 -to ADC_D[7]
146
set_location_assignment PIN_86 -to ADC_CLK
147
 
148
set_location_assignment PIN_101 -to IO[0]
149
set_location_assignment PIN_103 -to IO[1]
150
set_location_assignment PIN_104 -to IO[2]
151
set_location_assignment PIN_105 -to IO[3]
152
set_location_assignment PIN_106 -to IO[4]
153
set_location_assignment PIN_110 -to IO[5]
154
set_location_assignment PIN_111 -to IO[6]
155
set_location_assignment PIN_112 -to IO[7]
156
 
157
set_location_assignment PIN_113 -to IO[8]
158
set_location_assignment PIN_114 -to IO[9]
159
set_location_assignment PIN_115 -to IO[10]
160
set_location_assignment PIN_119 -to IO[11]
161
set_location_assignment PIN_120 -to IO[12]
162
set_location_assignment PIN_121 -to IO[13]
163
set_location_assignment PIN_124 -to IO[14]
164
set_location_assignment PIN_125 -to IO[15]
165
 
166
set_location_assignment PIN_12 -to DCLK
167
set_location_assignment PIN_13 -to DATA0
168
set_location_assignment PIN_8 -to NCSO
169
set_location_assignment PIN_6 -to ASDO
170
 
171
# Assembler Assignments
172
# =====================
173
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
174
 
175
# Advanced I/O Timing Assignments
176
# ===============================
177
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
178
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
179
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
180
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
181
 
182
# ----------------------
183
# start ENTITY(cyclone3)
184
 
185
        # start DESIGN_PARTITION(Top)
186
        # ---------------------------
187
 
188
                # Incremental Compilation Assignments
189
                # ===================================
190
 
191
        # end DESIGN_PARTITION(Top)
192
        # -------------------------
193
 
194
# end ENTITY(cyclone3)
195
# --------------------
196
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
197
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
198
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "USE AS REGULAR IO"
199
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
200
set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "USE AS REGULAR IO"
201
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
202
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
203
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
204
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
205
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
206
set_global_assignment -name VERILOG_FILE ../../altera/VGA_CLK.v
207
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/tree_sorter.sv
208
set_global_assignment -name SYSTEMVERILOG_FILE ../../main/stack_sorter.sv
209
set_global_assignment -name VERILOG_FILE ../../utility/VGA_Ctrl.v
210
set_global_assignment -name VERILOG_FILE ../../test_vga.v
211
set_global_assignment -name VERILOG_FILE MARS_VGA.v
212
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.