OpenCores
URL https://opencores.org/ocsvn/nysa_sata/nysa_sata/trunk

Subversion Repositories nysa_sata

[/] [nysa_sata/] [trunk/] [rtl/] [platform/] [sata_platform.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 cospan
`include "sata_defines.v"
2
 
3
module sata_platform (
4
  input                 rst,
5
 
6
  input                 tx_comm_reset,
7
  input                 tx_comm_wake,
8
  output                comm_init_detect,
9
  output                comm_wake_detect,
10
  output                rx_elec_idle,
11
  input                 tx_elec_idle,
12
  output                rx_byte_is_aligned,
13
 
14
 
15
  input         [31:0]  phy_tx_dout,
16
  input                 phy_tx_isk,
17
  output        [31:0]  phy_rx_din,
18
  output        [3:0]   phy_rx_isk,
19
 
20
  //Clock Interface
21
  input                 mgtclk_in,
22
  output           reg  cnt_rst,
23
  output                pll_locked,
24
  output                clk_75mhz,
25
 
26
 
27
  output                platform_ready,
28
 
29
  output                TXP0_OUT,
30
  output                TXN0_OUT,
31
 
32
  input                 RXP0_IN,
33
  input                 RXN0_IN,
34
 
35
  output                GTX115_TXP0_OUT,
36
  output                GTX115_TXN0_OUT,
37
 
38
  input                 GTX115_RXP0_IN,
39
  input                 GTX115_RXN0_IN
40
);
41
 
42
//Parameters
43
//Registers/Wires
44
//Submodules
45
//Asynchronous Logic
46
//Synchronous Logic
47
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.