OpenCores
URL https://opencores.org/ocsvn/nysa_sata/nysa_sata/trunk

Subversion Repositories nysa_sata

[/] [nysa_sata/] [trunk/] [test/] [model/] [sata_model.py] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 cospan
import cocotb
2
from cocotb.clock import Clock
3
from cocotb.triggers import Timer, RisingEdge, FallingEdge
4
 
5
CLK_PERIOD = 4
6
RESET_PERIOD = 100
7
 
8
class SataController(object):
9
 
10
    def __init__(self, dut, period = CLK_PERIOD):
11
        self.dut = dut
12
        self.dut.log.warning("Setup Sata")
13
        cocotb.fork(Clock(dut.clk, CLK_PERIOD).start())
14
        self.dut.rst = 0
15
        self.dut.prim_scrambler_en = 1
16
        self.dut.data_scrambler_en = 1
17
 
18
    @cocotb.coroutine
19
    def wait_clocks(self, num_clks):
20
        for i in range(num_clks):
21
            yield RisingEdge(self.dut.clk)
22
 
23
    @cocotb.coroutine
24
    def reset(self):
25
        self.dut.rst = 0
26
        self.dut.write_data_en = 0
27
        self.dut.read_data_en = 0
28
        self.dut.soft_reset_en = 0
29
        self.dut.sector_count = 0
30
        self.dut.sector_address = 0
31
        self.dut.fifo_reset = 0
32
 
33
        self.dut.hold = 0
34
        self.dut.single_rdwr = 0
35
        self.dut.platform_ready = 0
36
 
37
        self.dut.u2h_write_enable = 0
38
        self.dut.u2h_write_count = 0
39
        self.dut.h2u_read_enable = 0
40
 
41
        self.dut.hd_read_enable = 0;
42
        self.dut.user_read_enable = 0;
43
 
44
 
45
        yield(self.wait_clocks(RESET_PERIOD / 2))
46
        self.dut.rst = 1
47
 
48
        yield(self.wait_clocks(RESET_PERIOD / 2))
49
        self.dut.rst = 0
50
 
51
        yield(self.wait_clocks(100))
52
        self.dut.platform_ready = 1
53
 
54
        yield(self.wait_clocks(10))
55
        self.dut.soft_reset_en = 1
56
        yield(self.wait_clocks(10))
57
        self.dut.soft_reset_en = 0
58
 
59
    def ready(self):
60
        if self.dut.sata_ready == 1:
61
            return True
62
        return False
63
 
64
    @cocotb.coroutine
65
    def wait_for_idle(self):
66
        yield(cocotb.triggers.FallingEdge(self.dut.busy))
67
        yield(cocotb.triggers.RisingEdge(self.dut.sata_ready))
68
 
69
    @cocotb.coroutine
70
    def write_to_hard_drive(self, length, address):
71
        self.dut.u2h_write_enable = 1
72
        self.dut.u2h_write_count = length
73
        #self.dut.h2u_read_enable = 1
74
        self.dut.sector_address = address
75
        #What does this do?
76
        self.dut.sector_count = 0
77
        self.dut.write_data_en = 1
78
        yield(self.wait_clocks(1))
79
        self.dut.write_data_en = 0
80
        yield(self.wait_for_idle())
81
        yield(self.wait_clocks(100))
82
        #self.dut.h2u_read_enable = 0
83
 
84
    @cocotb.coroutine
85
    def read_from_hard_drive(self, length, address):
86
        self.dut.read_data_en = 1
87
        self.dut.sector_address = address
88
        sector_count = (length / 0x800) + 1
89
        self.dut.sector_count = sector_count
90
        #Initiate pattern generation within the data generators
91
        #Also tell the reader to analyze the incomming data
92
        self.dut.h2u_read_enable = 1
93
        yield(self.wait_clocks(10))
94
        while (self.dut.h2u_read_total_count.value < length):
95
            self.dut.log.info("count: %d" % self.dut.h2u_read_total_count.value)
96
            yield(self.wait_clocks(100))
97
 
98
        self.dut.h2u_read_enable = 0
99
        self.dut.read_data_en = 0
100
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.