OpenCores
URL https://opencores.org/ocsvn/oab1/oab1/trunk

Subversion Repositories oab1

[/] [oab1/] [web_uploads/] [index.htm] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
<HTML>
2
  <HEAD>
3
    <META NAME="keywords" CONTENT="cores, VHDL, Verilog HDL, ASIC, Synthesizable,
4
      standard cell, IP, Intellectual Property, 32-bit RISC, UART, PCI, SDRAM,
5
      full custom, system on a chip, SOC, reusable, design, development, synthesis,
6
      designs, developers, C, Linux, eCos, open, free, open source cores, RTL code,
7
      system-on-a-chip, circuits, digital, GNU, GPL, core, controller, processor,
8
      system design, chip design, EDA, design methodology, design tools, ASICs, programmable logic,
9
      FPGA's, PLDs, CPLDs, verification, Synthesis, HDL, Simulation, IC design software,
10
      semiconductor design, integrated circuits, system designs, chip designs, EDAs,
11
      design methodologies, design tool, ASIC, programmable logics, FPGA, PLD, CPLD, Synthesis,
12
      circuit, Synopsys, system design, chip design, programmable logic, FPGA's, PLDs,
13
      CPLDs, verification, Simulation">
14
    <META NAME="description" CONTENT="OPENCORES.ORG endorses development and hosts
15
      a repository of free, open source IP cores (chip designs, System-on-a-Chip) and
16
      supplemental boards.">
17
 
18
 
19
    <STYLE type=text/css>
20
      BODY {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
21
      P {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
22
      DIV {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
23
      TD {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
24
      TR {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
25
      FORM {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
26
      OL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
27
      UL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
28
      LI {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
29
      B {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
30
      I {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
31
      U {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
32
      INPUT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
33
      TEXTAREA {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
34
      SELECT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
35
      A {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
36
      A:hover {color:"#ff3300";}
37
      FONT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
38
      .email {color: "#000088"}
39
    </STYLE>
40
 
41
    <title>
42
 
43
        OPENCORES.ORG
44
 
45
    </title>
46
 
47
    <base target="_blank">
48
 
49
  </HEAD>
50
 
51
  <BODY
52
    bgColor=#ffffff
53
    text=#000000
54
    link=#000088
55
    vLink=#444444
56
    aLink=#ff0000
57
    leftMargin=0
58
    topMargin=0
59
    marginheight=0
60
    marginwidth=0
61
  >
62
 
63
    <TABLE align=center border=0 cellPadding=0 cellSpacing=0 width="100%" valign="top">
64
    <TBODY>
65
      <TR  bgColor=#ffffff>
66
        <td nowrap width=95 align=right>
67
          <a href="/"><img src="title_logo.gif" border=0 alt="Home"></a>
68
        </td>
69
        <TD align=right>
70
          <center>
71
          <table border=0 cellPadding=8 cellSpacing=0 valign="center"><tr><td width=10>
72
            <font size=+3><b>OPENCORES.ORG</b>
73
          </td></tr></table>
74
          </center>
75
        </TD>
76
        <td nowrap width=95 align=right>
77
           &nbsp;
78
        </td>
79
      </TR>
80
      <TR><TD bgColor=#000000 height=1 colspan=3><IMG alt='' height=1 src="dotty.gif" width=1></TD></TR>
81
    </TBODY>
82
  </TABLE>
83
 
84
  <TABLE align=center border=0 cellPadding=0 cellSpacing=0 width="100%" valign="top">
85
    <tr bgcolor=#bbccff>
86
      <td valign=center>
87
        <table border=0 cellPadding=2 cellSpacing=0 width="100%" valign="top">
88
        <tr>
89
          <td width=10></td>
90
          <td align=center valign=center>
91
            <a href="/mission.shtml" target="_top"><font color=#000000>Mission</font></a>
92
            | <a href="/faq.shtml" target="_top"><font color=#000000>FAQ</font></a>
93
            | <a href="/projects.shtml" target="_top"><font color=#000000>Projects</font></a>
94
            | <a href="/cvs.shtml" target="_top"><font color=#000000>CVS</font></a>
95
            | <a href="/mailinglists.shtml" target="_top"><font color=#000000>Mailing lists</font></a>
96
            | <a href="/media.shtml" target="_top"><font color=#000000>Media</font></a>
97
            | <a href="/tools.shtml" target="_top"><font color=#000000>Tools</font></a>
98
            | <a href="/OIPC/" target="_top"><font color=#000000>OpenIPCore</font></a>
99
          </td>
100
 
101
          <td width=10></td><form action=http://www.opencores.org/search.shtml>
102
          <td align=right valign=center>
103
            Search <font size=-1><input type=query name=words size=12></font>
104
          </td><td><font size=-3>|</font></td></form>
105
        </tr></table>
106
      </td></tr>
107
      <tr><td bgColor=#000000 height=1><IMG alt='' height=1 src="dotty.gif" width=1></td></tr>
108
    </table>
109
 
110
 
111
 
112
    <TABLE align=center border=0 cellPadding=0 cellSpacing=0 width="100%" VALIGN="TOP">
113
      <TR>
114
        <TD valign=top width=150 bgcolor=#f0f0f0>
115
          <TABLE border=0 cellPadding=3 cellSpacing=0 Valign="TOP" width=100%>
116
            <TR>
117
              <TD width=5><font size=-2>&nbsp;</font></TD>
118
              <TD width="100%" valign=top>
119
 
120
 
121
                <p><b>More</b>
122
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="http://www.opencores.org/cvsweb.shtml/">CVSWeb</a></td></tr></table>
123
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/cvsmodule.shtml">CVSget</a></td></tr></table>
124
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/ml-archive/cores/maillist.shtml">Mailing list archives</a></td></tr></table>
125
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/mirrors.shtml">Mirrors</a></td></tr></table>
126
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/sponsors.shtml">Sponsors</a></td></tr></table>
127
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/logos/">Logos</a></td></tr></table>
128
 
129
                <p><b>OpenIPCore</b>
130
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/goals.shtml" target="_top">Goals</a></td></tr></table>
131
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/def.shtml" target="_top">Definition</a></td></tr></table>
132
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/why.shtml" target="_top">Why</a></td></tr></table>
133
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/problem.shtml" target="_top">Problems</a></td></tr></table>
134
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/business.shtml" target="_top">Business</a></td></tr></table>
135
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/lic.shtml" target="_top">Protection</a></td></tr></table>
136
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/road.shtml">Road map</a></td></tr></table>
137
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/OIPC/flow.shtml">Design flow</a></td></tr></table>
138
 
139
                <p><b>Last updated</b>
140
 
141
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/cores/rs232_syscon/people.shtml">rs232_syscon project</a></td></tr></table>
142
 
143
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/projects.shtml">Projects page</a></td></tr></table>
144
 
145
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/cores/bluetooth/index.shtml">Bluetooth project</a></td></tr></table>
146
 
147
                <table border=0 cellspacing=0 cellpadding=0><tr><td valign=top><li>&nbsp;</li></td><td><a href="/index.shtml">Start page</a></td></tr></table>
148
 
149
 
150
                <p>
151
 
152
 
153
                        <FORM ACTION="/cgi-bin/poll/poll.cgi">
154
                        <INPUT TYPE=hidden NAME=action VALUE=vote>
155
                        <TABLE CELLPADDING=0 CELLSPACING=0 BORDER=0 width=100%>
156
                        <TD BGCOLOR=#000000>
157
 
158
                        <TABLE CELLPADDING=4 CELLSPACING=1 BORDER=0 width=100%>
159
                        <TR><TD COLSPAN=2 VALIGN=top BGCOLOR=#bbccff><strong><FONT SIZE=-1>Your interest in OpenCores is?&nbsp;</strong></TD></TR>
160
                        <TR><TD COLSPAN=2 VALIGN=top BGCOLOR=#ffffff>
161
                        <table border=0 cellpadding=3 cellspacing=0><TR>
162
                                <TD VALIGN=top BGCOLOR=#ffffff width=1%><INPUT TYPE=radio NAME=vote VALUE=1></TD>
163
                                <TD BGCOLOR=#ffffff><FONT SIZE=-1>User of cores</TD>
164
                        </TR></table>
165
                        <table border=0 cellpadding=3 cellspacing=0><TR>
166
                                <TD VALIGN=top BGCOLOR=#ffffff width=1%><INPUT TYPE=radio NAME=vote VALUE=2></TD>
167
                                <TD BGCOLOR=#ffffff><FONT SIZE=-1>Participation in development</TD>
168
                        </TR></table>
169
                        <table border=0 cellpadding=3 cellspacing=0><TR>
170
                                <TD VALIGN=top BGCOLOR=#ffffff width=1%><INPUT TYPE=radio NAME=vote VALUE=3></TD>
171
                                <TD BGCOLOR=#ffffff><FONT SIZE=-1>Just passing by</TD>
172
                        </TR></table>
173
                        <center><table>
174
                        <TR>
175
                                <TD VALIGN=top ALIGN=center BGCOLOR=#ffffff COLSPAN=2>
176
                                        <FONT SIZE=-1>
177
                                        <INPUT TYPE=submit  VALUE=" vote ">
178
                                </td>
179
                        </tr>
180
                        </table></td></tr>
181
                        <TR><TD COLSPAN=2 VALIGN=top BGCOLOR=#ffffff>
182
                        <table width=100% border=0 cellspacing=0 cellpadding=0><tr><td>
183
                        <center><A HREF=/cores/ocrp-1/index.shtml?action=view>Results</A>                       </center>                       </td>
184
                        </tr></table>
185
                        </TD>
186
                        </TR>
187
                        </TABLE>
188
                        </TD></TABLE></form>            <p>
189
                <TABLE CELLPADDING=1 CELLSPACING=0 BORDER=0 width=100%>
190
                <TD BGCOLOR=#000000>
191
                <TABLE CELLPADDING=3 CELLSPACING=0 BORDER=0 width=100% bgcolor=#ffffff>
192
                <tr><td><center><a href="http://www.opencores.org/poll_archive/">Past polls</a></center></td></tr></table>
193
                </td></table>
194
 
195
 
196
                <p><br><p><br>
197
              </TD>
198
              <TD width="10">&nbsp;</TD>
199
            </TR>
200
          </TABLE>
201
        </TD>
202
        <TD bgColor=#000000 height=1 valign=top><IMG alt='' height=1 src="dotty.gif" width=1></TD>
203
        <TD align=left bgColor=#ffffff vAlign=top>
204
          <TABLE border=0 cellPadding=5 cellSpacing=0 Valign="TOP" width=100%>
205
            <TR>
206
              <TD width="10">&nbsp;</TD>
207
              <TD width="100%" valign=top>
208
 
209
<!-- BODY --><b><font size=+2 face="Helvetica, Arial"
210
color=#bf0000>Project Name:&nbsp;</font></b>
211
<p><b><font size=+2 face="Helvetica, Arial"
212
color=#bf0000>OPENCORES Application Board 1 (OAB1)</font></b></p>
213
<h1><font size="4">Introduction</font></h1>
214
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">As
215
you know, we have lots of free IP cores here, and we’ll have more coming soon.
216
We have to use these cores otherwise they are invaluable. For this reason the
217
idea of designing serials and open design boards are going to be available for
218
any designers around the world. <o:p>
219
</o:p>
220
</span></p>
221
<h1><span style="mso-bidi-font-size: 12.0pt"><font size="4">Objective<o:p>
222
</o:p>
223
</font></span></h1>
224
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">This
225
project is intended to: <o:p>
226
</o:p>
227
</span></p>
228
<ul>
229
  <li>
230
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
231
    design schematic can deal with analog signal and transport through Ethernet.
232
    <o:p>
233
    </o:p>
234
    </span></li>
235
  <li>
236
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
237
    implementation CPU core and Ethernet core to one FPGA chip <o:p>
238
    </o:p>
239
    </span></li>
240
  <li>
241
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
242
    program the necessary operation system and application software to achieve
243
    the goal.<o:p>
244
    </o:p>
245
    </span></li>
246
  <li>
247
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
248
    build the prototype board.<o:p>
249
    </o:p>
250
    </span></li>
251
  <li>
252
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">To
253
    do the test for all functions.<o:p>
254
    </o:p>
255
    </span></li>
256
</ul>
257
<h1><font size="4"><span style="font-family: Arial; mso-bidi-font-size: 12.0pt">&nbsp;</span>Design
258
Flow</font></h1>
259
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">This
260
project can be divided into two parts. The board design and the cores design.
261
Anyone can use free or commercial tools to design and implement this project</span></p>
262
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Board
263
design flow can be done through four steps: <o:p>
264
</o:p>
265
</span></p>
266
<ul>
267
  <li>
268
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Block
269
    Diagram design: I hope we use word 97+ for easy modify and exchange. <o:p>
270
    </o:p>
271
    </span></li>
272
  <li>
273
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Schematic
274
    entry: I will post schematic using PDF format. Anyone can also send me using
275
    PDF, protel99se or Cadence format. <o:p>
276
    </o:p>
277
    </span></li>
278
  <li>
279
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Layout
280
    design: The final layout will use Allegro or Protel99se. <o:p>
281
    </o:p>
282
    </span></li>
283
  <li>
284
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Board
285
    implementation: This is the final step in the design where the designer
286
    should work himself to produce his board unless we get funding or donation
287
    from PCB manufactory.<o:p>
288
    </o:p>
289
    </span></li>
290
</ul>
291
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Cores
292
design flow can be done through five steps: <o:p>
293
</o:p>
294
</span></p>
295
<ul>
296
  <li>
297
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Design
298
    entry: Doesn’t matter the tools, we exchange only VHDL or Verilog codes. <o:p>
299
    </o:p>
300
    </span></li>
301
  <li>
302
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Simulation:
303
    I hope to use ModelSim or Active-HDL, but other tools also welcome.<o:p>
304
    </o:p>
305
    </span></li>
306
  <li>
307
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Synthesis:
308
    I hope to use FPGA express, but other tools also welcome.<o:p>
309
    </o:p>
310
    </span></li>
311
  <li>
312
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Implementation:
313
    I hope to use Xilinx FPGA.<o:p>
314
    </o:p>
315
    </span></li>
316
  <li>
317
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Programming
318
    Download: Using onboard parallel cable.<o:p>
319
    </o:p>
320
    </span></li>
321
</ul>
322
<h1><font size="4"><span style="font-family: Arial; mso-bidi-font-size: 12.0pt">&nbsp;</span>System
323
Description</font></h1>
324
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">This
325
is the Board block diagram<br style="mso-special-character: line-break">
326
<br style="mso-special-character: line-break">
327
</span><span style="FONT-FAMILY: Verdana"><o:p>
328
</o:p>
329
</span><span style="FONT-FAMILY: Verdana"><o:p>
330
 <img border="0" src="ver02.jpg" width="750" height="675"></o:p>
331
</span></p>
332
<p class="MsoNormal"><span style="FONT-FAMILY: Verdana"><span style="mso-spacerun: yes">&nbsp;&nbsp;
333
</span>
334
</span><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">The
335
system is composed of 5 main blocks:<o:p>
336
</o:p>
337
</span></p>
338
<ul>
339
  <li>
340
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Xilinx
341
    FPGA, PROM and parallel interface<o:p>
342
    </o:p>
343
    </span></li>
344
  <li>
345
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">SRAM
346
    circuit<o:p>
347
    </o:p>
348
    </span></li>
349
  <li>
350
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">ADC
351
    and DAC interface<o:p>
352
    </o:p>
353
    </span></li>
354
  <li>
355
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Ethernet
356
    interface<o:p>
357
    </o:p>
358
    </span></li>
359
  <li>
360
    <p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Power
361
    and reset circuit<o:p>
362
    </o:p>
363
    </span></li>
364
</ul>
365
<h1><font size="4">Schematic Design</font></h1>
366
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">TBD<o:p>
367
</o:p>
368
</span></p>
369
<h1><font size="4">Layout Design</font></h1>
370
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">TBD
371
<o:p>
372
</o:p>
373
</span></p>
374
<h1><font size="4">Current Status:</font></h1>
375
<p style="mso-margin-top-alt: auto; mso-margin-bottom-alt: auto"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">2001.8.20
376
Upload Board block diagram <a href="ver01.JPG">version 0.1</a></span></p>
377
<p style="mso-margin-top-alt: auto; mso-margin-bottom-alt: auto"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">2001.8.21
378
Upload Board block diagram <a href="ver02.jpg">version 0.2</a></span></p>
379
<h1><font size="4">Maintainer(s):</font></h1>
380
<h1><font size="3">Martin Jiang <a href="mailto:martinj@opencores.org"><span style="FONT-FAMILY: 'Times New Roman'; mso-bidi-font-family: Arial">martinj@opencores.org</span></a></font></h1>
381
<h1><font size="4">Mailing-list:</font></h1>
382
<p class="MsoNormal"><span style="FONT-FAMILY: Verdana"><a href="mailto:cores@opencores.org_NOSPAM">cores@opencores.org_NOSPAM</a><o:p>
383
</o:p>
384
</span></p>
385
<h1><font size="4">References tools and links</font></h1>
386
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt"><a href="http://xoscope.sourceforge.net/"><span style="mso-ascii-font-family: Arial; mso-hansi-font-family: Arial">Xscope
387
home page</span></a> <o:p>
388
</o:p>
389
</span></p>
390
<p class="MsoNormal"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">SSM2141
391
<a href="http://products.analog.com/products/info.asp?product=SSM2141">Datasheet</a></span></p>
392
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">DAC8248
393
<a href="http://products.analog.com/products/info.asp?product=DAC8248">Datasheet</a>
394
</span></p>
395
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">AD7854
396
<a href="http://products.analog.com/products/info.asp?product=AD7854">Datasheet</a>
397
</span></p>
398
<p class="MsoNormal"><span style="font-size: 14pt; font-family: Arial; mso-bidi-font-size: 12.0pt">RTL8201</span><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">
399
<a href="http://www.realtek.com.tw/htm/download/cgi/DLd1.cgi?model=rtl8201&amp;type=1"><span style="mso-ascii-font-family: Arial; mso-hansi-font-family: Arial">Datasheet</span></a><o:p></o:p>
400
</span></p>
401
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">PE68515
402
<a href="http://www.pulseeng.com/pdf/H303.pdf">Datasheet</a>
403
</span></p>
404
<p class="MsoNormal"><span style="FONT-SIZE: 14pt; FONT-FAMILY: Arial; mso-bidi-font-size: 12.0pt">Xilinx
405
Virtex-E <a href="http://www.xilinx.com/partinfo/ds022.htm"><span style="mso-ascii-font-family: Arial; mso-hansi-font-family: Arial">Datasheet</span></a><o:p>
406
</o:p>
407
</span></p>
408
<p>
409
<font size=+1><b>Acknowledgment</b></font>
410
              </TD>
411
              <TD width="10">&nbsp;</TD>
412
            </TR>
413
          </TABLE>
414
        </td>
415
      </tr>
416
      <TR>
417
        <TD valign=top width=150 bgcolor=#f0f0f0>
418
          <center>
419
          <table cellspacing=0 cellpadding=6 border=0><tr><td><a href="mailto:webmaster@opencores.org_NOSPAM">webmaster</a></td></tr></table>
420
          </center>
421
        </TD>
422
        <TD bgColor=#000000 height=1 valign=bottom><IMG alt='' height=1 src="dotty.gif" width=1></TD>
423
        <TD align=right bgColor=#ffffff vAlign=top>
424
          <table cellspacing=0 cellpadding=6 border=0><tr><td>
425
 
426
 
427
            <a target="_/cores/ocrp-1/index.shtml" href="http://www.opencores.org/editor?cmd=direct_edit&filename=/cores/ocrp-1/index.shtml">Last modified on
428
            <!--webbot bot="Timestamp" startspan S-Type="EDITED"
429
            S-Format="%m/%d/%y %I:%M:%S %p" -->08/21/01 03:20:20 PM<!--webbot
430
            bot="Timestamp" i-CheckSum="26672" endspan -->
431
            </a></td></tr></table>
432
 
433
        </td>
434
      </tr>
435
    </TABLE>
436
 
437
  <TABLE border=0 cellPadding=0 cellSpacing=0 Valign="TOP" width=100%>
438
    <TR>
439
      <TD bgColor=#000000 height=1><IMG alt='' height=1 src="dotty.gif" width=1></TD>
440
    </TR>
441
    <tr>
442
      <td>
443
        <center>
444
        <table cellspacing=0 cellpadding=4 border=0><tr><td><center>Administrative contact: <a href="mailto:administration@opencores.org">administration@opencores.org</a></center></td></tr><tr><td>Copyright ©1999-2001 OPENCORES.ORG. All rights reserved.</td></tr></table>
445
      </td>
446
    </tr>
447
  </TABLE>
448
 
449
</BODY>
450
</HTML>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.