OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [test4/] [irun.log] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 dinesha
irun: 11.10-s021: (c) Copyright 1995-2012 Cadence Design Systems, Inc.
2
TOOL:   irun    11.10-s021: Started on Aug 01, 2014 at 13:22:44 IST
3
irun
4
        test.sv
5
file: test.sv
6
        module worklib.goto_assertion:sv
7
                errors: 0, warnings: 0
8
                Caching library 'worklib' ....... Done
9
        Elaborating the design hierarchy:
10
        Top level design units:
11
                goto_assertion
12
        Building instance overlay tables: .................... Done
13
        Generating native compiled code:
14
                worklib.goto_assertion:sv <0x3dbabe39>
15
                        streams:  14, words:  7844
16
        Loading native compiled code:     .................... Done
17
        Building instance specific data structures.
18
        Design hierarchy summary:
19
                          Instances  Unique
20
                Modules:          1       1
21
                Registers:       19      19
22
                Always blocks:    9       9
23
                Initial blocks:   7       7
24
                Assertions:       2       2
25
        Writing initial simulation snapshot: worklib.goto_assertion:sv
26
Loading snapshot worklib.goto_assertion:sv .................... Done
27
ncsim> source /tools/INCISIV111/tools/inca/files/ncsimrc
28
ncsim> run
29
ncsim: *W,SHMPAAX: some objects excluded from $shm_probe due to access restrictions, use +access+r on command line for access to all objects.
30
            File: ./dump.v, line = 6, pos = 13
31
           Scope: goto_assertion
32
            Time: 0 FS + 0
33
 
34
  req ##1 (busy [->3]) ##1 gnt;
35
    |
36
ncsim: *E,ASRTST (./test.sv,37): (time 5 NS) Assertion goto_assertion.cool_way_assert has failed (2 cycles, starting 3 NS)
37
  req ##1 ((!busy ##1 busy) [*3]) ##1 gnt;
38
    |
39
ncsim: *E,ASRTST (./test.sv,36): (time 5 NS) Assertion goto_assertion.boring_way_assert has failed (2 cycles, starting 3 NS)
40
  req ##1 (busy [->3]) ##1 gnt;
41
    |
42
ncsim: *E,ASRTST (./test.sv,37): (time 63 NS) Assertion goto_assertion.cool_way_assert has failed (2 cycles, starting 61 NS)
43
  req ##1 ((!busy ##1 busy) [*3]) ##1 gnt;
44
    |
45
ncsim: *E,ASRTST (./test.sv,36): (time 63 NS) Assertion goto_assertion.boring_way_assert has failed (2 cycles, starting 61 NS)
46
Simulation complete via $finish(1) at time 107 NS + 0
47
./test.sv:49   #30 $finish;
48
ncsim> exit
49
TOOL:   irun    11.10-s021: Exiting on Aug 01, 2014 at 13:22:46 IST  (total: 00:00:02)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.