OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [test4/] [sim.log] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 dinesha
ncxlmode: 11.10-s021: (c) Copyright 1995-2012 Cadence Design Systems, Inc.
2
TOOL:   ncxlmode        11.10-s021: Started on Aug 01, 2014 at 13:38:57 IST
3
ncxlmode
4
        +access+rcw
5
        test.sv
6
        -l
7
        sim.log
8
file: test.sv
9
        module worklib.goto_assertion:sv
10
                errors: 0, warnings: 0
11
                Caching library 'worklib' ....... Done
12
        Elaborating the design hierarchy:
13
        Building instance overlay tables: .................... Done
14
        Generating native compiled code:
15
                worklib.goto_assertion:sv <0x50a1299e>
16
                        streams:  14, words:  8088
17
        Loading native compiled code:     .................... Done
18
        Building instance specific data structures.
19
        Design hierarchy summary:
20
                          Instances  Unique
21
                Modules:          1       1
22
                Registers:       19      19
23
                Always blocks:    9       9
24
                Initial blocks:   7       7
25
                Assertions:       2       2
26
        Writing initial simulation snapshot: worklib.goto_assertion:sv
27
Loading snapshot worklib.goto_assertion:sv .................... Done
28
ncsim> source /tools/INCISIV111/tools/inca/files/ncsimrc
29
ncsim> run
30
  req ##1 (busy [->3]) ##1 gnt;
31
                             |
32
ncsim: *E,ASRTST (./test.sv,37): (time 225 NS) Assertion goto_assertion.cool_way_assert has failed (8 cycles, starting 183 NS)
33
  req ##1 ((!busy ##1 busy) [*3]) ##1 gnt;
34
                                        |
35
ncsim: *E,ASRTST (./test.sv,36): (time 225 NS) Assertion goto_assertion.boring_way_assert has failed (8 cycles, starting 183 NS)
36
Simulation complete via $finish(1) at time 261 NS + 0
37
./test.sv:49   #30 $finish;
38
ncsim> exit
39
TOOL:   ncxlmode        11.10-s021: Exiting on Aug 01, 2014 at 13:38:59 IST  (total: 00:00:02)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.