OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [fpga/] [altera/] [oms8051.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dinesha
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2009 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 9.0 Build 132 02/25/2009 SJ Full Version
21
# Date created = 16:06:19  March 15, 2011
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               oms8051_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone II"
40
set_global_assignment -name DEVICE EP2C15AF484A7
41
set_global_assignment -name TOP_LEVEL_ENTITY oms8051
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:06:19  MARCH 15, 2011"
44
set_global_assignment -name LAST_QUARTUS_VERSION 9.0
45
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
46
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
47
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
48
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
49
 
50
set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40"
51
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 125
52
 
53
set_global_assignment -name SEARCH_PATH ../../rtl/lib
54
set_global_assignment -name SEARCH_PATH ../../rtl/8051
55
 
56
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
57
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
58
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
59
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
60
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
61
set_global_assignment -name VERILOG_FILE ../../models/altera/altera_stargate_pll.v
62
set_global_assignment -name VERILOG_FILE ../../rtl/lib/wb_wr_mem2mem.v
63
set_global_assignment -name VERILOG_FILE ../../rtl/lib/clk_ctl.v
64
set_global_assignment -name VERILOG_FILE ../../rtl/lib/dble_reg.v
65
set_global_assignment -name VERILOG_FILE ../../rtl/lib/double_sync_high.v
66
set_global_assignment -name VERILOG_FILE ../../rtl/lib/double_sync_low.v
67
set_global_assignment -name VERILOG_FILE ../../rtl/lib/dpath_ctrl.v
68
set_global_assignment -name VERILOG_FILE ../../rtl/lib/registers.v
69
set_global_assignment -name VERILOG_FILE ../../rtl/lib/sfifo.v
70
set_global_assignment -name VERILOG_FILE ../../rtl/lib/stat_counter.v
71
set_global_assignment -name VERILOG_FILE ../../rtl/lib/toggle_sync.v
72
set_global_assignment -name VERILOG_FILE ../../rtl/lib/wb_crossbar.v
73
set_global_assignment -name VERILOG_FILE ../../rtl/lib/wb_interface.v
74
set_global_assignment -name VERILOG_FILE ../../rtl/lib/wb_rd_mem2mem.v
75
set_global_assignment -name VERILOG_FILE ../../rtl/lib/async_fifo.v
76
set_global_assignment -name VERILOG_FILE ../../rtl/core/digital_core.v
77
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/top/g_mac_top.v
78
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_tx_fsm.v
79
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_deferral.v
80
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_tx_top.v
81
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_rx_fsm.v
82
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_cfg_mgmt.v
83
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/s2f_sync.v
84
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_md_intf.v
85
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_ad_fltr.v
86
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_deferral_rx.v
87
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_rx_top.v
88
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_mii_intf.v
89
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/mac/g_mac_core.v
90
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/crc32/g_rx_crc32.v
91
set_global_assignment -name VERILOG_FILE ../../rtl/gmac/crc32/g_tx_crc32.v
92
set_global_assignment -name VERILOG_FILE ../../rtl/spi/spi_core.v
93
set_global_assignment -name VERILOG_FILE ../../rtl/spi/spi_ctl.v
94
set_global_assignment -name VERILOG_FILE ../../rtl/spi/spi_if.v
95
set_global_assignment -name VERILOG_FILE ../../rtl/spi/spi_cfg.v
96
set_global_assignment -name VERILOG_FILE ../../rtl/uart/uart_rxfsm.v
97
set_global_assignment -name VERILOG_FILE ../../rtl/uart/uart_txfsm.v
98
set_global_assignment -name VERILOG_FILE ../../rtl/uart/uart_core.v
99
set_global_assignment -name VERILOG_FILE ../../rtl/uart/uart_cfg.v
100
set_global_assignment -name VERILOG_FILE ../../rtl/clkgen/clkgen.v
101
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_top.v
102
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_alu_src_sel.v
103
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_alu.v
104
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_decoder.v
105
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_divide.v
106
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_multiply.v
107
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_memory_interface.v
108
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_ram_top.v
109
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_acc.v
110
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_comp.v
111
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_sp.v
112
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_dptr.v
113
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_cy_select.v
114
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_psw.v
115
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_indi_addr.v
116
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_ports.v
117
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_b_register.v
118
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_uart.v
119
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_int.v
120
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_tc.v
121
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_tc2.v
122
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_sfr.v
123
set_global_assignment -name VERILOG_FILE ../../rtl/8051/oc8051_ram_256x8_two_bist.v
124
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.