OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [rtl/] [8051/] [oc8051_alu_src_sel.v] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dinesha
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 alu source select module                               ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/oms8051mini/                 ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   Multiplexer wiht whitch we select data on alu sources      ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////      - Dinesh Annayya, dinesha@opencores.org                 ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19 25 dinesha
////   v0.0 - Dinesh A, 5th Jan 2017
20
////        1. Active edge of reset changed from High to Low
21
//////////////////////////////////////////////////////////////////////
22 2 dinesha
////                                                              ////
23
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
24
////                                                              ////
25
//// This source file may be used and distributed without         ////
26
//// restriction provided that this copyright statement is not    ////
27
//// removed from the file and that any derivative work contains  ////
28
//// the original copyright notice and the associated disclaimer. ////
29
////                                                              ////
30
//// This source file is free software; you can redistribute it   ////
31
//// and/or modify it under the terms of the GNU Lesser General   ////
32
//// Public License as published by the Free Software Foundation; ////
33
//// either version 2.1 of the License, or (at your option) any   ////
34
//// later version.                                               ////
35
////                                                              ////
36
//// This source is distributed in the hope that it will be       ////
37
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
38
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
39
//// PURPOSE.  See the GNU Lesser General Public License for more ////
40
//// details.                                                     ////
41
////                                                              ////
42
//// You should have received a copy of the GNU Lesser General    ////
43
//// Public License along with this source; if not, download it   ////
44
//// from http://www.opencores.org/lgpl.shtml                     ////
45
////                                                              ////
46
//////////////////////////////////////////////////////////////////////
47
//
48
// CVS Revision History
49
//
50
// $Log: not supported by cvs2svn $
51
// Revision 1.3  2003/06/03 17:13:57  simont
52
// remove pc_r register.
53
//
54
// Revision 1.2  2003/05/06 09:41:35  simont
55
// remove define OC8051_AS2_PCL, chane signal src_sel2 to 2 bit wide.
56
//
57
// Revision 1.1  2003/01/13 14:13:12  simont
58
// initial import
59
//
60
//
61
//
62
 
63
`include "top_defines.v"
64
 
65
 
66 25 dinesha
module oc8051_alu_src_sel (clk, resetn, rd, sel1, sel2, sel3,
67 2 dinesha
                     acc, ram, pc, dptr,
68
 
69
                     op1, op2, op3,
70
 
71
                     src1, src2, src3);
72
 
73
 
74 25 dinesha
input clk, resetn, rd, sel3;
75 2 dinesha
input [1:0] sel2;
76
input [2:0] sel1;
77
input [7:0] acc, ram;
78
input [15:0] dptr;
79
input [15:0] pc;
80
 
81
 
82
input [7:0] op1, op2, op3;
83
 
84
output [7:0] src1, src2, src3;
85
 
86
reg [7:0] src1, src2, src3;
87
 
88
reg [7:0] op1_r, op2_r, op3_r;
89
 
90
///////
91
//
92
// src1
93
//
94
///////
95
always @(sel1 or op1_r or op2_r or op3_r or pc or acc or ram)
96
begin
97
  case (sel1) /* synopsys full_case parallel_case */
98
    `OC8051_AS1_RAM: src1 = ram;
99
    `OC8051_AS1_ACC: src1 = acc;
100
    `OC8051_AS1_OP1: src1 = op1_r;
101
    `OC8051_AS1_OP2: src1 = op2_r;
102
    `OC8051_AS1_OP3: src1 = op3_r;
103
    `OC8051_AS1_PCH: src1 = pc[15:8];
104
    `OC8051_AS1_PCL: src1 = pc[7:0];
105
//    default: src1 = 8'h00;
106
  endcase
107
end
108
 
109
///////
110
//
111
// src2
112
//
113
///////
114
always @(sel2 or op2_r or acc or ram or op1_r)
115
begin
116
  case (sel2) /* synopsys full_case parallel_case */
117
    `OC8051_AS2_ACC: src2= acc;
118
    `OC8051_AS2_ZERO: src2= 8'h00;
119
    `OC8051_AS2_RAM: src2= ram;
120
    `OC8051_AS2_OP2: src2= op2_r;
121
//    default: src2= 8'h00;
122
  endcase
123
end
124
 
125
///////
126
//
127
// src3
128
//
129
///////
130
 
131
always @(sel3 or pc[15:8] or dptr[15:8] or op1_r)
132
begin
133
  case (sel3) /* synopsys full_case parallel_case */
134
    `OC8051_AS3_DP:   src3= dptr[15:8];
135
    `OC8051_AS3_PC:   src3= pc[15:8];
136
//    default: src3= 16'h0;
137
  endcase
138
end
139
 
140
 
141 25 dinesha
always @(posedge clk or negedge resetn)
142
  if (resetn == 1'b0) begin
143 2 dinesha
    op1_r <= #1 8'h00;
144
    op2_r <= #1 8'h00;
145
    op3_r <= #1 8'h00;
146
  end else begin
147
    op1_r <= #1 op1;
148
    op2_r <= #1 op2;
149
    op3_r <= #1 op3;
150
  end
151
 
152
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.