OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [rtl/] [8051/] [oc8051_uart.v] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dinesha
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 cores serial interface                                 ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/oms8051mini/                 ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   uart for 8051 core                                         ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   Nothing                                                    ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Simon Teran, simont@opencores.org                     ////
16
////      - Dinesh Annayya, dinesha@opencores.org                 ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19 25 dinesha
////   v0.0 - Dinesh A, 5th Jan 2017
20
////        1. Active edge of reset changed from High to Low
21
//////////////////////////////////////////////////////////////////////
22 2 dinesha
////                                                              ////
23
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
24
////                                                              ////
25
//// This source file may be used and distributed without         ////
26
//// restriction provided that this copyright statement is not    ////
27
//// removed from the file and that any derivative work contains  ////
28
//// the original copyright notice and the associated disclaimer. ////
29
////                                                              ////
30
//// This source file is free software; you can redistribute it   ////
31
//// and/or modify it under the terms of the GNU Lesser General   ////
32
//// Public License as published by the Free Software Foundation; ////
33
//// either version 2.1 of the License, or (at your option) any   ////
34
//// later version.                                               ////
35
////                                                              ////
36
//// This source is distributed in the hope that it will be       ////
37
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
38
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
39
//// PURPOSE.  See the GNU Lesser General Public License for more ////
40
//// details.                                                     ////
41
////                                                              ////
42
//// You should have received a copy of the GNU Lesser General    ////
43
//// Public License along with this source; if not, download it   ////
44
//// from http://www.opencores.org/lgpl.shtml                     ////
45
////                                                              ////
46
//////////////////////////////////////////////////////////////////////
47
//
48
// CVS Revision History
49
//
50
// $Log: not supported by cvs2svn $
51
// Revision 1.14  2003/04/29 11:25:42  simont
52
// prepared start of receiving if ren is not active.
53
//
54
// Revision 1.13  2003/04/10 08:57:16  simont
55
// remove signal sbuf_txd [12:11]
56
//
57
// Revision 1.12  2003/04/07 14:58:02  simont
58
// change sfr's interface.
59
//
60
// Revision 1.11  2003/04/07 13:29:16  simont
61
// change uart to meet timing.
62
//
63
// Revision 1.10  2003/01/13 14:14:41  simont
64
// replace some modules
65
//
66
// Revision 1.9  2002/09/30 17:33:59  simont
67
// prepared header
68
//
69
//
70
 
71
`include "top_defines.v"
72
 
73 25 dinesha
module oc8051_uart (resetn, clk,
74 2 dinesha
             bit_in, data_in,
75
             wr_addr,
76
             wr, wr_bit,
77
             rxd, txd,
78
             intr,
79
             brate2, t1_ow, pres_ow,
80
             rclk, tclk,
81
//registers
82
             scon, pcon, sbuf);
83
 
84 25 dinesha
input        resetn,
85 2 dinesha
             clk,
86
             bit_in,
87
             wr,
88
             rxd,
89
             wr_bit,
90
             t1_ow,
91
             brate2,
92
             pres_ow,
93
             rclk,
94
             tclk;
95
input [7:0]  data_in,
96
             wr_addr;
97
 
98
output       txd,
99
             intr;
100
output [7:0] scon,
101
             pcon,
102
             sbuf;
103
 
104
 
105
reg t1_ow_buf;
106
//
107
reg [7:0] scon, pcon;
108
 
109
 
110
reg        txd,
111
           trans,
112
           receive,
113
           tx_done,
114
           rx_done,
115
           rxd_r,
116
           shift_tr,
117
           shift_re;
118
reg [1:0]  rx_sam;
119
reg [3:0]  tr_count,
120
           re_count;
121
reg [7:0]  sbuf_rxd;
122
reg [11:0] sbuf_rxd_tmp;
123
reg [10:0] sbuf_txd;
124
 
125
assign sbuf = sbuf_rxd;
126
assign intr = scon[1] | scon [0];
127
 
128
//
129
//serial port control register
130
//
131
wire ren, tb8, rb8, ri;
132
assign ren = scon[4];
133
assign tb8 = scon[3];
134
assign rb8 = scon[2];
135
assign ri  = scon[0];
136
 
137 25 dinesha
always @(posedge clk or negedge resetn)
138 2 dinesha
begin
139 25 dinesha
  if (resetn == 1'b0)
140 2 dinesha
    scon <= #1 `OC8051_RST_SCON;
141
  else if ((wr) & !(wr_bit) & (wr_addr==`OC8051_SFR_SCON))
142
    scon <= #1 data_in;
143
  else if ((wr) & (wr_bit) & (wr_addr[7:3]==`OC8051_SFR_B_SCON))
144
    scon[wr_addr[2:0]] <= #1 bit_in;
145
  else if (tx_done)
146
    scon[1] <= #1 1'b1;
147
  else if (!rx_done) begin
148
    if (scon[7:6]==2'b00) begin
149
      scon[0] <= #1 1'b1;
150
    end else if ((sbuf_rxd_tmp[11]) | !(scon[5])) begin
151
      scon[0] <= #1 1'b1;
152
      scon[2] <= #1 sbuf_rxd_tmp[11];
153
    end else
154
      scon[2] <= #1 sbuf_rxd_tmp[11];
155
  end
156
end
157
 
158
//
159
//power control register
160
//
161
wire smod;
162
assign smod = pcon[7];
163 25 dinesha
always @(posedge clk or negedge resetn)
164 2 dinesha
begin
165 25 dinesha
  if (resetn == 1'b0)
166 2 dinesha
  begin
167
    pcon <= #1 `OC8051_RST_PCON;
168
  end else if ((wr_addr==`OC8051_SFR_PCON) & (wr) & !(wr_bit))
169
    pcon <= #1 data_in;
170
end
171
 
172
 
173
//
174
//serial port buffer (transmit)
175
//
176
 
177
wire wr_sbuf;
178
assign wr_sbuf = (wr_addr==`OC8051_SFR_SBUF) & (wr) & !(wr_bit);
179
 
180 25 dinesha
always @(posedge clk or negedge resetn)
181 2 dinesha
begin
182 25 dinesha
  if (resetn == 1'b0) begin
183 2 dinesha
    txd      <= #1 1'b1;
184
    tr_count <= #1 4'd0;
185
    trans    <= #1 1'b0;
186
    sbuf_txd <= #1 11'h00;
187
    tx_done  <= #1 1'b0;
188
//
189
// start transmiting
190
//
191
  end else if (wr_sbuf) begin
192
    case (scon[7:6]) /* synopsys parallel_case */
193
      2'b00: begin  // mode 0
194
        sbuf_txd <= #1 {3'b001, data_in};
195
      end
196
      2'b01: begin // mode 1
197
        sbuf_txd <= #1 {2'b01, data_in, 1'b0};
198
      end
199
      default: begin  // mode 2 and mode 3
200
        sbuf_txd <= #1 {1'b1, tb8, data_in, 1'b0};
201
      end
202
    endcase
203
    trans    <= #1 1'b1;
204
    tr_count <= #1 4'd0;
205
    tx_done  <= #1 1'b0;
206
//
207
// transmiting
208
//
209
  end else if (trans & (scon[7:6] == 2'b00) & pres_ow) // mode 0
210
  begin
211
    if (~|sbuf_txd[10:1]) begin
212
      trans   <= #1 1'b0;
213
      tx_done <= #1 1'b1;
214
    end else begin
215
      {sbuf_txd, txd} <= #1 {1'b0, sbuf_txd};
216
      tx_done         <= #1 1'b0;
217
    end
218
  end else if (trans & (scon[7:6] != 2'b00) & shift_tr) begin // mode 1, 2, 3
219
    tr_count <= #1 tr_count + 4'd1;
220
    if (~|tr_count) begin
221
      if (~|sbuf_txd[10:0]) begin
222
        trans   <= #1 1'b0;
223
        tx_done <= #1 1'b1;
224
        txd <= #1 1'b1;
225
      end else begin
226
        {sbuf_txd, txd} <= #1 {1'b0, sbuf_txd};
227
        tx_done         <= #1 1'b0;
228
      end
229
    end
230
  end else if (!trans) begin
231
    txd     <= #1 1'b1;
232
    tx_done <= #1 1'b0;
233
  end
234
end
235
 
236
//
237
//
238
reg sc_clk_tr, smod_clk_tr;
239
always @(brate2 or t1_ow or t1_ow_buf or scon[7:6] or tclk)
240
begin
241
  if (scon[7:6]==8'b10) begin //mode 2
242
    sc_clk_tr = 1'b1;
243
  end else if (tclk) begin //
244
    sc_clk_tr = brate2;
245
  end else begin //
246
    sc_clk_tr = !t1_ow_buf & t1_ow;
247
  end
248
end
249
 
250 25 dinesha
always @(posedge clk or negedge resetn)
251 2 dinesha
begin
252 25 dinesha
  if (resetn == 1'b0) begin
253 2 dinesha
    smod_clk_tr <= #1 1'b0;
254
    shift_tr    <= #1 1'b0;
255
  end else if (sc_clk_tr) begin
256
    if (smod) begin
257
      shift_tr <= #1 1'b1;
258
    end else begin
259
      shift_tr    <= #1  smod_clk_tr;
260
      smod_clk_tr <= #1 !smod_clk_tr;
261
    end
262
  end else begin
263
    shift_tr <= #1 1'b0;
264
  end
265
end
266
 
267
 
268
//
269
//serial port buffer (receive)
270
//
271 25 dinesha
always @(posedge clk or negedge resetn)
272 2 dinesha
begin
273 25 dinesha
  if (resetn == 1'b0) begin
274 2 dinesha
    re_count     <= #1 4'd0;
275
    receive      <= #1 1'b0;
276
    sbuf_rxd     <= #1 8'h00;
277
    sbuf_rxd_tmp <= #1 12'd0;
278
    rx_done      <= #1 1'b1;
279
    rxd_r        <= #1 1'b1;
280
    rx_sam       <= #1 2'b00;
281
  end else if (!rx_done) begin
282
    receive <= #1 1'b0;
283
    rx_done <= #1 1'b1;
284
    sbuf_rxd <= #1 sbuf_rxd_tmp[10:3];
285
  end else if (receive & (scon[7:6]==2'b00) & pres_ow) begin //mode 0
286
    {sbuf_rxd_tmp, rx_done} <= #1 {rxd, sbuf_rxd_tmp};
287
  end else if (receive & (scon[7:6]!=2'b00) & shift_re) begin //mode 1, 2, 3
288
    re_count <= #1 re_count + 4'd1;
289
    case (re_count) /* synopsys full_case parallel_case */
290
      4'h7: rx_sam[0] <= #1 rxd;
291
      4'h8: rx_sam[1] <= #1 rxd;
292
      4'h9: begin
293
        {sbuf_rxd_tmp, rx_done} <= #1 {(rxd==rx_sam[0] ? rxd : rx_sam[1]), sbuf_rxd_tmp};
294
      end
295
    endcase
296
//
297
//start receiving
298
//
299
  end else if (scon[7:6]==2'b00) begin //start mode 0
300
    rx_done <= #1 1'b1;
301
    if (ren && !ri && !receive) begin
302
      receive      <= #1 1'b1;
303
      sbuf_rxd_tmp <= #1 10'h0ff;
304
    end
305
  end else if (ren & shift_re) begin
306
    rxd_r <= #1 rxd;
307
    rx_done <= #1 1'b1;
308
    re_count <= #1 4'h0;
309
    receive <= #1 (rxd_r & !rxd);
310
    sbuf_rxd_tmp <= #1 10'h1ff;
311
  end else if (!ren) begin
312
    rxd_r <= #1 rxd;
313
  end else
314
    rx_done <= #1 1'b1;
315
end
316
 
317
//
318
//
319
reg sc_clk_re, smod_clk_re;
320
always @(brate2 or t1_ow or t1_ow_buf or scon[7:6] or rclk)
321
begin
322
  if (scon[7:6]==8'b10) begin //mode 2
323
    sc_clk_re = 1'b1;
324
  end else if (rclk) begin //
325
    sc_clk_re = brate2;
326
  end else begin //
327
    sc_clk_re = !t1_ow_buf & t1_ow;
328
  end
329
end
330
 
331 25 dinesha
always @(posedge clk or negedge resetn)
332 2 dinesha
begin
333 25 dinesha
  if (resetn == 1'b0) begin
334 2 dinesha
    smod_clk_re <= #1 1'b0;
335
    shift_re    <= #1 1'b0;
336
  end else if (sc_clk_re) begin
337
    if (smod) begin
338
      shift_re <= #1 1'b1;
339
    end else begin
340
      shift_re    <= #1  smod_clk_re;
341
      smod_clk_re <= #1 !smod_clk_re;
342
    end
343
  end else begin
344
    shift_re <= #1 1'b0;
345
  end
346
end
347
 
348
 
349
 
350
//
351
//
352
//
353
 
354 25 dinesha
always @(posedge clk or negedge resetn)
355 2 dinesha
begin
356 25 dinesha
  if (resetn == 1'b0) begin
357 2 dinesha
    t1_ow_buf <= #1 1'b0;
358
  end else begin
359
    t1_ow_buf <= #1 t1_ow;
360
  end
361
end
362
 
363
 
364
 
365
endmodule
366
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.