OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [verif/] [glog/] [modelsim/] [int_i2cm_burst_wrrd.log] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 dinesha
Reading J:/Microsemi/Libero_SoC_v11.7/Model/tcl/vsim/pref.tcl
2
 
3
# 10.4c
4
 
5
# vsim -do "run.do" -c tb_top "+i2cm_burst_wrrd" "+INTERNAL_ROM"
6
# Start time: 11:10:00 on Jan 08,2017
7
# //  ModelSim Microsemi 10.4c Aug 12 2015
8
# //
9
# //  Copyright 1991-2015 Mentor Graphics Corporation
10
# //  All Rights Reserved.
11
# //
12
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
13
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
14
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
15
# //  THIS DOCUMENT CONTAINS TRADE SECRETS AND COMMERCIAL OR FINANCIAL
16
# //  INFORMATION THAT ARE PRIVILEGED, CONFIDENTIAL, AND EXEMPT FROM
17
# //  DISCLOSURE UNDER THE FREEDOM OF INFORMATION ACT, 5 U.S.C. SECTION 552.
18
# //  FURTHERMORE, THIS INFORMATION IS PROHIBITED FROM DISCLOSURE UNDER
19
# //  THE TRADE SECRETS ACT, 18 U.S.C. SECTION 1905.
20
# //
21
# Loading sv_std.std
22
# Loading work.tb_top
23
# ** Error: (vsim-7) Failed to open data file "F:/Yagna-Product/VLSI/opencores/oms8051mini/trunk/verif/run/work/delay/_primary.dat" in read mode.
24
#
25
# No such file or directory. (errno = ENOENT)
26
# Loading work.digital_core
27
# Loading work.clkgen
28
# Loading work.clk_ctl
29
# Loading work.msg_handler_top
30
# Loading work.uart_core_nf
31
# Loading work.uart_txfsm
32
# Loading work.uart_rxfsm
33
# Loading work.double_sync_low
34
# Loading work.msg_handler
35
# Loading work.wb_crossbar
36
# Loading work.uart_core
37
# Loading work.uart_cfg
38
# Loading work.generic_register
39
# Loading work.stat_register
40
# Loading work.async_fifo
41
# Loading work.spi_core
42
# Loading work.spi_if
43
# Loading work.spi_ctl
44
# Loading work.spi_cfg
45
# Loading work.req_register
46
# Loading work.i2cm_top
47
# Loading work.i2cm_byte_ctrl
48
# Loading work.i2cm_bit_ctrl
49
# Loading work.oc8051_top
50
# Loading work.oc8051_decoder
51
# Loading work.oc8051_alu
52
# Loading work.oc8051_multiply
53
# Loading work.oc8051_divide
54
# Loading work.oc8051_ram_top
55
# Loading work.oc8051_ram_256x8_two_bist
56
# Loading work.oc8051_alu_src_sel
57
# Loading work.oc8051_comp
58
# Loading work.oc8051_rom
59
# Loading work.oc8051_cy_select
60
# Loading work.oc8051_indi_addr
61
# Loading work.oc8051_memory_interface
62
# Loading work.oc8051_sfr
63
# Loading work.oc8051_acc
64
# Loading work.oc8051_b_register
65
# Loading work.oc8051_sp
66
# Loading work.oc8051_dptr
67
# Loading work.oc8051_psw
68
# Loading work.oc8051_ports
69
# Loading work.oc8051_int
70
# Loading work.oc8051_tc
71
# Loading work.oc8051_tc2
72
# Loading work.oc8051_xram
73
# Loading work.i2c_slave_model
74
# Loading work.uart_agent
75
# Loading work.m25p20
76
# Loading work.memory_access
77
# Loading work.acdc_check
78
# Loading work.internal_logic
79
# Loading work.AT45DB321
80
# Loading work.tb_glbl
81
# Loading work.bit_register
82
# ** Warning: (vsim-3017) ../tb/tb_top.v(135): [TFMPC] - Too few port connections. Expected 30, found 28.
83
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core File: ../../rtl/core/digital_core.v
84
# ** Warning: (vsim-3722) ../tb/tb_top.v(135): [TFMPC] - Missing connection for port 'scan_mode'.
85
# ** Warning: (vsim-3722) ../tb/tb_top.v(135): [TFMPC] - Missing connection for port 'scan_enable'.
86
# ** Warning: (vsim-3017) ../../rtl/uart/uart_core.v(233): [TFMPC] - Too few port connections. Expected 14, found 12.
87
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core/u_uart_core/u_rxfifo File: ../../rtl/lib/async_fifo.v
88
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(233): [TFMPC] - Missing connection for port 'afull'.
89
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(233): [TFMPC] - Missing connection for port 'aempty'.
90
# ** Warning: (vsim-3017) ../../rtl/uart/uart_core.v(249): [TFMPC] - Too few port connections. Expected 14, found 12.
91
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core/u_uart_core/u_txfifo File: ../../rtl/lib/async_fifo.v
92
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(249): [TFMPC] - Missing connection for port 'afull'.
93
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(249): [TFMPC] - Missing connection for port 'aempty'.
94
# ** Warning: (vsim-3017) ../../rtl/8051/oc8051_top.v(522): [TFMPC] - Too few port connections. Expected 56, found 53.
95
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core/u_8051_core/u_memory_interface File: ../../rtl/8051/oc8051_memory_interface.v
96
# ** Warning: (vsim-3722) ../../rtl/8051/oc8051_top.v(522): [TFMPC] - Missing connection for port 'iack_i'.
97
# ** Warning: (vsim-3722) ../../rtl/8051/oc8051_top.v(522): [TFMPC] - Missing connection for port 'istb_o'.
98
# ** Warning: (vsim-3722) ../../rtl/8051/oc8051_top.v(522): [TFMPC] - Missing connection for port 'idat_i'.
99
# ** Warning: (vsim-PLI-3003) ../tb/tb_top.v(266): [TOFD] - System task or function '$shm_open' is not defined.
100
#    Time: 0 ps  Iteration: 0  Instance: /tb_top File: ../tb/tb_top.v
101
# ** Warning: (vsim-PLI-3003) ../tb/tb_top.v(267): [TOFD] - System task or function '$shm_probe' is not defined.
102
#    Time: 0 ps  Iteration: 0  Instance: /tb_top File: ../tb/tb_top.v
103
# do run.do
104
# NOTE : Load memory with Initial delivery content
105
# NOTE : Initial Load End
106
# --> Dumpping the design
107
# ** Error (suppressible): (vsim-12023) ../tb/tb_top.v(266): Cannot execute undefined system task/function '$shm_open'
108
#
109
# ** Error (suppressible): (vsim-12023) ../tb/tb_top.v(267): Cannot execute undefined system task/function '$shm_probe'
110
#
111
# NOTE: COMMUNICATION (RE)STARTED
112
# DEBUG i2c_slave; stop condition detected at                  101
113
# DEBUG i2c_slave; start condition detected at                44116
114
# DEBUG i2c_slave; command byte received (write) at               228138
115
# DEBUG i2c_slave; address received. adr=66, ack=1
116
# DEBUG i2c_slave; data block write 12 to address 66
117
# DEBUG i2c_slave; data block write 34 to address 67
118
# DEBUG i2c_slave; data block write 56 to address 68
119
# DEBUG i2c_slave; data block write 78 to address 69
120
# DEBUG i2c_slave; stop condition detected at              1262136
121
# DEBUG i2c_slave; start condition detected at              1278136
122
# DEBUG i2c_slave; command byte received (write) at              1462138
123
# DEBUG i2c_slave; address received. adr=66, ack=1
124
# DEBUG i2c_slave; stop condition detected at              1704136
125
# DEBUG i2c_slave; start condition detected at              1720136
126
# DEBUG i2c_slave; command byte received (read) at              1904138
127
# DEBUG i2c_slave; data block read 12 from address 66 (1)
128
# DEBUG i2c_slave; memcheck [66]=12
129
# DEBUG i2c_slave; data block read 34 from address 67 (2)
130
# DEBUG i2c_slave; data block read 56 from address 68 (2)
131
# DEBUG i2c_slave; data block read 78 from address 69 (2)
132
# DEBUG i2c_slave; data block read xx from address 6a (2)
133
# DEBUG i2c_slave; stop condition detected at              2740136
134
################################
135
# TEST STATUS : PASSED
136
################################
137
# ** Note: $finish    : ../tb/tb_top.v(315)
138
#    Time: 2741016 ps  Iteration: 0  Instance: /tb_top
139
# End time: 11:10:21 on Jan 08,2017, Elapsed time: 0:00:21
140
# Errors: 3, Warnings: 15

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.