OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [rtl/] [verilog/] [periph/] [omsp_gpio.v] - Blame information for rev 103

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2001 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25 34 olivier.gi
// *File Name: omsp_gpio.v
26 2 olivier.gi
// 
27
// *Module Description:
28
//                       Digital I/O interface
29
//
30
// *Author(s):
31
//              - Olivier Girard,    olgirard@gmail.com
32
//
33
//----------------------------------------------------------------------------
34 17 olivier.gi
// $Rev: 103 $
35
// $LastChangedBy: olivier.girard $
36
// $LastChangedDate: 2011-03-05 15:44:48 +0100 (Sat, 05 Mar 2011) $
37
//----------------------------------------------------------------------------
38 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
39
`else
40 23 olivier.gi
`include "openMSP430_defines.v"
41 103 olivier.gi
`endif
42 2 olivier.gi
 
43 34 olivier.gi
module  omsp_gpio (
44 2 olivier.gi
 
45
// OUTPUTs
46
    irq_port1,                      // Port 1 interrupt
47
    irq_port2,                      // Port 2 interrupt
48
    p1_dout,                        // Port 1 data output
49
    p1_dout_en,                     // Port 1 data output enable
50
    p1_sel,                         // Port 1 function select
51
    p2_dout,                        // Port 2 data output
52
    p2_dout_en,                     // Port 2 data output enable
53
    p2_sel,                         // Port 2 function select
54
    p3_dout,                        // Port 3 data output
55
    p3_dout_en,                     // Port 3 data output enable
56
    p3_sel,                         // Port 3 function select
57
    p4_dout,                        // Port 4 data output
58
    p4_dout_en,                     // Port 4 data output enable
59
    p4_sel,                         // Port 4 function select
60
    p5_dout,                        // Port 5 data output
61
    p5_dout_en,                     // Port 5 data output enable
62
    p5_sel,                         // Port 5 function select
63
    p6_dout,                        // Port 6 data output
64
    p6_dout_en,                     // Port 6 data output enable
65
    p6_sel,                         // Port 6 function select
66
    per_dout,                       // Peripheral data output
67
 
68
// INPUTs
69
    mclk,                           // Main system clock
70
    p1_din,                         // Port 1 data input
71
    p2_din,                         // Port 2 data input
72
    p3_din,                         // Port 3 data input
73
    p4_din,                         // Port 4 data input
74
    p5_din,                         // Port 5 data input
75
    p6_din,                         // Port 6 data input
76
    per_addr,                       // Peripheral address
77
    per_din,                        // Peripheral data input
78
    per_en,                         // Peripheral enable (high active)
79
    per_wen,                        // Peripheral write enable (high active)
80
    puc                             // Main system reset
81
);
82
 
83
// PARAMETERs
84
//============
85
parameter           P1_EN = 1'b1;   // Enable Port 1
86
parameter           P2_EN = 1'b1;   // Enable Port 2
87
parameter           P3_EN = 1'b0;   // Enable Port 3
88
parameter           P4_EN = 1'b0;   // Enable Port 4
89
parameter           P5_EN = 1'b0;   // Enable Port 5
90
parameter           P6_EN = 1'b0;   // Enable Port 6
91
 
92
 
93
// OUTPUTs
94
//=========
95
output              irq_port1;      // Port 1 interrupt
96
output              irq_port2;      // Port 2 interrupt
97
output        [7:0] p1_dout;        // Port 1 data output
98
output        [7:0] p1_dout_en;     // Port 1 data output enable
99
output        [7:0] p1_sel;         // Port 1 function select
100
output        [7:0] p2_dout;        // Port 2 data output
101
output        [7:0] p2_dout_en;     // Port 2 data output enable
102
output        [7:0] p2_sel;         // Port 2 function select
103
output        [7:0] p3_dout;        // Port 3 data output
104
output        [7:0] p3_dout_en;     // Port 3 data output enable
105
output        [7:0] p3_sel;         // Port 3 function select
106
output        [7:0] p4_dout;        // Port 4 data output
107
output        [7:0] p4_dout_en;     // Port 4 data output enable
108
output        [7:0] p4_sel;         // Port 4 function select
109
output        [7:0] p5_dout;        // Port 5 data output
110
output        [7:0] p5_dout_en;     // Port 5 data output enable
111
output        [7:0] p5_sel;         // Port 5 function select
112
output        [7:0] p6_dout;        // Port 6 data output
113
output        [7:0] p6_dout_en;     // Port 6 data output enable
114
output        [7:0] p6_sel;         // Port 6 function select
115
output       [15:0] per_dout;       // Peripheral data output
116
 
117
// INPUTs
118
//=========
119
input               mclk;           // Main system clock
120
input         [7:0] p1_din;         // Port 1 data input
121
input         [7:0] p2_din;         // Port 2 data input
122
input         [7:0] p3_din;         // Port 3 data input
123
input         [7:0] p4_din;         // Port 4 data input
124
input         [7:0] p5_din;         // Port 5 data input
125
input         [7:0] p6_din;         // Port 6 data input
126
input         [7:0] per_addr;       // Peripheral address
127
input        [15:0] per_din;        // Peripheral data input
128
input               per_en;         // Peripheral enable (high active)
129
input         [1:0] per_wen;        // Peripheral write enable (high active)
130
input               puc;            // Main system reset
131
 
132
 
133
//=============================================================================
134
// 1)  PARAMETER DECLARATION
135
//=============================================================================
136
 
137
// Masks
138
parameter           P1_EN_MSK   = {8{P1_EN[0]}};
139
parameter           P2_EN_MSK   = {8{P2_EN[0]}};
140
parameter           P3_EN_MSK   = {8{P3_EN[0]}};
141
parameter           P4_EN_MSK   = {8{P4_EN[0]}};
142
parameter           P5_EN_MSK   = {8{P5_EN[0]}};
143
parameter           P6_EN_MSK   = {8{P6_EN[0]}};
144
 
145
// Register addresses
146
parameter           P1IN        = 9'h020;                  // Port 1
147
parameter           P1OUT       = 9'h021;
148
parameter           P1DIR       = 9'h022;
149
parameter           P1IFG       = 9'h023;
150
parameter           P1IES       = 9'h024;
151
parameter           P1IE        = 9'h025;
152
parameter           P1SEL       = 9'h026;
153
parameter           P2IN        = 9'h028;                  // Port 2
154
parameter           P2OUT       = 9'h029;
155
parameter           P2DIR       = 9'h02A;
156
parameter           P2IFG       = 9'h02B;
157
parameter           P2IES       = 9'h02C;
158
parameter           P2IE        = 9'h02D;
159
parameter           P2SEL       = 9'h02E;
160
parameter           P3IN        = 9'h018;                  // Port 3
161
parameter           P3OUT       = 9'h019;
162
parameter           P3DIR       = 9'h01A;
163
parameter           P3SEL       = 9'h01B;
164
parameter           P4IN        = 9'h01C;                  // Port 4
165
parameter           P4OUT       = 9'h01D;
166
parameter           P4DIR       = 9'h01E;
167
parameter           P4SEL       = 9'h01F;
168
parameter           P5IN        = 9'h030;                  // Port 5
169
parameter           P5OUT       = 9'h031;
170
parameter           P5DIR       = 9'h032;
171
parameter           P5SEL       = 9'h033;
172
parameter           P6IN        = 9'h034;                  // Port 6
173
parameter           P6OUT       = 9'h035;
174
parameter           P6DIR       = 9'h036;
175
parameter           P6SEL       = 9'h037;
176
 
177
 
178
// Register one-hot decoder
179
parameter           P1IN_D      = (256'h1 << (P1IN  /2));  // Port 1
180
parameter           P1OUT_D     = (256'h1 << (P1OUT /2));
181
parameter           P1DIR_D     = (256'h1 << (P1DIR /2));
182
parameter           P1IFG_D     = (256'h1 << (P1IFG /2));
183
parameter           P1IES_D     = (256'h1 << (P1IES /2));
184
parameter           P1IE_D      = (256'h1 << (P1IE  /2));
185
parameter           P1SEL_D     = (256'h1 << (P1SEL /2));
186
parameter           P2IN_D      = (256'h1 << (P2IN  /2));  // Port 2
187
parameter           P2OUT_D     = (256'h1 << (P2OUT /2));
188
parameter           P2DIR_D     = (256'h1 << (P2DIR /2));
189
parameter           P2IFG_D     = (256'h1 << (P2IFG /2));
190
parameter           P2IES_D     = (256'h1 << (P2IES /2));
191
parameter           P2IE_D      = (256'h1 << (P2IE  /2));
192
parameter           P2SEL_D     = (256'h1 << (P2SEL /2));
193
parameter           P3IN_D      = (256'h1 << (P3IN  /2));  // Port 3
194
parameter           P3OUT_D     = (256'h1 << (P3OUT /2));
195
parameter           P3DIR_D     = (256'h1 << (P3DIR /2));
196
parameter           P3SEL_D     = (256'h1 << (P3SEL /2));
197
parameter           P4IN_D      = (256'h1 << (P4IN  /2));  // Port 4
198
parameter           P4OUT_D     = (256'h1 << (P4OUT /2));
199
parameter           P4DIR_D     = (256'h1 << (P4DIR /2));
200
parameter           P4SEL_D     = (256'h1 << (P4SEL /2));
201
parameter           P5IN_D      = (256'h1 << (P5IN  /2));  // Port 5
202
parameter           P5OUT_D     = (256'h1 << (P5OUT /2));
203
parameter           P5DIR_D     = (256'h1 << (P5DIR /2));
204
parameter           P5SEL_D     = (256'h1 << (P5SEL /2));
205
parameter           P6IN_D      = (256'h1 << (P6IN  /2));  // Port 6
206
parameter           P6OUT_D     = (256'h1 << (P6OUT /2));
207
parameter           P6DIR_D     = (256'h1 << (P6DIR /2));
208
parameter           P6SEL_D     = (256'h1 << (P6SEL /2));
209
 
210
 
211
//============================================================================
212
// 2)  REGISTER DECODER
213
//============================================================================
214
 
215
// Register address decode
216
reg  [255:0]  reg_dec;
217
always @(per_addr)
218
  case (per_addr)
219
    (P1IN  /2):   reg_dec  =  P1IN_D   & {256{P1_EN[0]}};
220
    (P1OUT /2):   reg_dec  =  P1OUT_D  & {256{P1_EN[0]}};
221
    (P1DIR /2):   reg_dec  =  P1DIR_D  & {256{P1_EN[0]}};
222
    (P1IFG /2):   reg_dec  =  P1IFG_D  & {256{P1_EN[0]}};
223
    (P1IES /2):   reg_dec  =  P1IES_D  & {256{P1_EN[0]}};
224
    (P1IE  /2):   reg_dec  =  P1IE_D   & {256{P1_EN[0]}};
225
    (P1SEL /2):   reg_dec  =  P1SEL_D  & {256{P1_EN[0]}};
226
    (P2IN  /2):   reg_dec  =  P2IN_D   & {256{P2_EN[0]}};
227
    (P2OUT /2):   reg_dec  =  P2OUT_D  & {256{P2_EN[0]}};
228
    (P2DIR /2):   reg_dec  =  P2DIR_D  & {256{P2_EN[0]}};
229
    (P2IFG /2):   reg_dec  =  P2IFG_D  & {256{P2_EN[0]}};
230
    (P2IES /2):   reg_dec  =  P2IES_D  & {256{P2_EN[0]}};
231
    (P2IE  /2):   reg_dec  =  P2IE_D   & {256{P2_EN[0]}};
232
    (P2SEL /2):   reg_dec  =  P2SEL_D  & {256{P2_EN[0]}};
233
    (P3IN  /2):   reg_dec  =  P3IN_D   & {256{P3_EN[0]}};
234
    (P3OUT /2):   reg_dec  =  P3OUT_D  & {256{P3_EN[0]}};
235
    (P3DIR /2):   reg_dec  =  P3DIR_D  & {256{P3_EN[0]}};
236
    (P3SEL /2):   reg_dec  =  P3SEL_D  & {256{P3_EN[0]}};
237
    (P4IN  /2):   reg_dec  =  P4IN_D   & {256{P4_EN[0]}};
238
    (P4OUT /2):   reg_dec  =  P4OUT_D  & {256{P4_EN[0]}};
239
    (P4DIR /2):   reg_dec  =  P4DIR_D  & {256{P4_EN[0]}};
240
    (P4SEL /2):   reg_dec  =  P4SEL_D  & {256{P4_EN[0]}};
241
    (P5IN  /2):   reg_dec  =  P5IN_D   & {256{P5_EN[0]}};
242
    (P5OUT /2):   reg_dec  =  P5OUT_D  & {256{P5_EN[0]}};
243
    (P5DIR /2):   reg_dec  =  P5DIR_D  & {256{P5_EN[0]}};
244
    (P5SEL /2):   reg_dec  =  P5SEL_D  & {256{P5_EN[0]}};
245
    (P6IN  /2):   reg_dec  =  P6IN_D   & {256{P6_EN[0]}};
246
    (P6OUT /2):   reg_dec  =  P6OUT_D  & {256{P6_EN[0]}};
247
    (P6DIR /2):   reg_dec  =  P6DIR_D  & {256{P6_EN[0]}};
248
    (P6SEL /2):   reg_dec  =  P6SEL_D  & {256{P6_EN[0]}};
249
    default   :   reg_dec  =  {256{1'b0}};
250
  endcase
251
 
252
// Read/Write probes
253
wire         reg_lo_write =  per_wen[0] & per_en;
254
wire         reg_hi_write =  per_wen[1] & per_en;
255
wire         reg_read     = ~|per_wen   & per_en;
256
 
257
// Read/Write vectors
258
wire [255:0] reg_hi_wr    = reg_dec & {256{reg_hi_write}};
259
wire [255:0] reg_lo_wr    = reg_dec & {256{reg_lo_write}};
260
wire [255:0] reg_rd       = reg_dec & {256{reg_read}};
261
 
262
 
263
//============================================================================
264
// 3) REGISTERS
265
//============================================================================
266
 
267
// P1IN Register
268
//---------------
269 79 olivier.gi
reg  [7:0] p1in_s;
270 2 olivier.gi
reg  [7:0] p1in;
271
 
272
always @ (posedge mclk or posedge puc)
273 79 olivier.gi
  if (puc)
274
    begin
275
       p1in_s <=  8'h00;
276
       p1in   <=  8'h00;
277
    end
278
  else
279
    begin
280
       p1in_s <=  p1_din & P1_EN_MSK;
281
       p1in   <=  p1in_s & P1_EN_MSK;
282
    end
283 2 olivier.gi
 
284
 
285
// P1OUT Register
286
//----------------
287
reg  [7:0] p1out;
288
 
289
wire       p1out_wr  = P1OUT[0] ? reg_hi_wr[P1OUT/2] : reg_lo_wr[P1OUT/2];
290
wire [7:0] p1out_nxt = P1OUT[0] ? per_din[15:8]      : per_din[7:0];
291
 
292
always @ (posedge mclk or posedge puc)
293
  if (puc)            p1out <=  8'h00;
294
  else if (p1out_wr)  p1out <=  p1out_nxt & P1_EN_MSK;
295
 
296
assign p1_dout = p1out;
297
 
298
 
299
// P1DIR Register
300
//----------------
301
reg  [7:0] p1dir;
302
 
303
wire       p1dir_wr  = P1DIR[0] ? reg_hi_wr[P1DIR/2] : reg_lo_wr[P1DIR/2];
304
wire [7:0] p1dir_nxt = P1DIR[0] ? per_din[15:8]      : per_din[7:0];
305
 
306
always @ (posedge mclk or posedge puc)
307
  if (puc)            p1dir <=  8'h00;
308
  else if (p1dir_wr)  p1dir <=  p1dir_nxt & P1_EN_MSK;
309
 
310
assign p1_dout_en = p1dir;
311
 
312
 
313
// P1IFG Register
314
//----------------
315
reg  [7:0] p1ifg;
316
 
317
wire       p1ifg_wr  = P1IFG[0] ? reg_hi_wr[P1IFG/2] : reg_lo_wr[P1IFG/2];
318
wire [7:0] p1ifg_nxt = P1IFG[0] ? per_din[15:8]      : per_din[7:0];
319
wire [7:0] p1ifg_set;
320
 
321
always @ (posedge mclk or posedge puc)
322
  if (puc)            p1ifg <=  8'h00;
323
  else if (p1ifg_wr)  p1ifg <=  (p1ifg_nxt | p1ifg_set) & P1_EN_MSK;
324
  else                p1ifg <=  (p1ifg     | p1ifg_set) & P1_EN_MSK;
325
 
326
// P1IES Register
327
//----------------
328
reg  [7:0] p1ies;
329
 
330
wire       p1ies_wr  = P1IES[0] ? reg_hi_wr[P1IES/2] : reg_lo_wr[P1IES/2];
331
wire [7:0] p1ies_nxt = P1IES[0] ? per_din[15:8]      : per_din[7:0];
332
 
333
always @ (posedge mclk or posedge puc)
334
  if (puc)            p1ies <=  8'h00;
335
  else if (p1ies_wr)  p1ies <=  p1ies_nxt & P1_EN_MSK;
336
 
337
 
338
// P1IE Register
339
//----------------
340
reg  [7:0] p1ie;
341
 
342
wire       p1ie_wr  = P1IE[0] ? reg_hi_wr[P1IE/2] : reg_lo_wr[P1IE/2];
343
wire [7:0] p1ie_nxt = P1IE[0] ? per_din[15:8]     : per_din[7:0];
344
 
345
always @ (posedge mclk or posedge puc)
346
  if (puc)           p1ie <=  8'h00;
347
  else if (p1ie_wr)  p1ie <=  p1ie_nxt & P1_EN_MSK;
348
 
349
 
350
// P1SEL Register
351
//----------------
352
reg  [7:0] p1sel;
353
 
354
wire       p1sel_wr  = P1SEL[0] ? reg_hi_wr[P1SEL/2] : reg_lo_wr[P1SEL/2];
355
wire [7:0] p1sel_nxt = P1SEL[0] ? per_din[15:8]      : per_din[7:0];
356
 
357
always @ (posedge mclk or posedge puc)
358
  if (puc)           p1sel <=  8'h00;
359
  else if (p1sel_wr) p1sel <=  p1sel_nxt & P1_EN_MSK;
360
 
361
assign p1_sel = p1sel;
362
 
363
 
364
// P2IN Register
365
//---------------
366 79 olivier.gi
reg  [7:0] p2in_s;
367 2 olivier.gi
reg  [7:0] p2in;
368
 
369
always @ (posedge mclk or posedge puc)
370 79 olivier.gi
  if (puc)
371
    begin
372
       p2in_s <=  8'h00;
373
       p2in   <=  8'h00;
374
    end
375
  else
376
    begin
377
       p2in_s <=  p2_din & P2_EN_MSK;
378
       p2in   <=  p2in_s & P2_EN_MSK;
379
    end
380 2 olivier.gi
 
381
 
382
// P2OUT Register
383
//----------------
384
reg  [7:0] p2out;
385
 
386
wire       p2out_wr  = P2OUT[0] ? reg_hi_wr[P2OUT/2] : reg_lo_wr[P2OUT/2];
387
wire [7:0] p2out_nxt = P2OUT[0] ? per_din[15:8]      : per_din[7:0];
388
 
389
always @ (posedge mclk or posedge puc)
390
  if (puc)            p2out <=  8'h00;
391
  else if (p2out_wr)  p2out <=  p2out_nxt & P2_EN_MSK;
392
 
393
assign p2_dout = p2out;
394
 
395
 
396
// P2DIR Register
397
//----------------
398
reg  [7:0] p2dir;
399
 
400
wire       p2dir_wr  = P2DIR[0] ? reg_hi_wr[P2DIR/2] : reg_lo_wr[P2DIR/2];
401
wire [7:0] p2dir_nxt = P2DIR[0] ? per_din[15:8]      : per_din[7:0];
402
 
403
always @ (posedge mclk or posedge puc)
404
  if (puc)            p2dir <=  8'h00;
405
  else if (p2dir_wr)  p2dir <=  p2dir_nxt & P2_EN_MSK;
406
 
407
assign p2_dout_en = p2dir;
408
 
409
 
410
// P2IFG Register
411
//----------------
412
reg  [7:0] p2ifg;
413
 
414
wire       p2ifg_wr  = P2IFG[0] ? reg_hi_wr[P2IFG/2] : reg_lo_wr[P2IFG/2];
415
wire [7:0] p2ifg_nxt = P2IFG[0] ? per_din[15:8]      : per_din[7:0];
416
wire [7:0] p2ifg_set;
417
 
418
always @ (posedge mclk or posedge puc)
419
  if (puc)            p2ifg <=  8'h00;
420
  else if (p2ifg_wr)  p2ifg <=  (p2ifg_nxt | p2ifg_set) & P2_EN_MSK;
421
  else                p2ifg <=  (p2ifg     | p2ifg_set) & P2_EN_MSK;
422
 
423
 
424
// P2IES Register
425
//----------------
426
reg  [7:0] p2ies;
427
 
428
wire       p2ies_wr  = P2IES[0] ? reg_hi_wr[P2IES/2] : reg_lo_wr[P2IES/2];
429
wire [7:0] p2ies_nxt = P2IES[0] ? per_din[15:8]      : per_din[7:0];
430
 
431
always @ (posedge mclk or posedge puc)
432
  if (puc)            p2ies <=  8'h00;
433
  else if (p2ies_wr)  p2ies <=  p2ies_nxt & P2_EN_MSK;
434
 
435
 
436
// P2IE Register
437
//----------------
438
reg  [7:0] p2ie;
439
 
440
wire       p2ie_wr  = P2IE[0] ? reg_hi_wr[P2IE/2] : reg_lo_wr[P2IE/2];
441
wire [7:0] p2ie_nxt = P2IE[0] ? per_din[15:8]     : per_din[7:0];
442
 
443
always @ (posedge mclk or posedge puc)
444
  if (puc)           p2ie <=  8'h00;
445
  else if (p2ie_wr)  p2ie <=  p2ie_nxt & P2_EN_MSK;
446
 
447
 
448
// P2SEL Register
449
//----------------
450
reg  [7:0] p2sel;
451
 
452
wire       p2sel_wr  = P2SEL[0] ? reg_hi_wr[P2SEL/2] : reg_lo_wr[P2SEL/2];
453
wire [7:0] p2sel_nxt = P2SEL[0] ? per_din[15:8]      : per_din[7:0];
454
 
455
always @ (posedge mclk or posedge puc)
456
  if (puc)           p2sel <=  8'h00;
457
  else if (p2sel_wr) p2sel <=  p2sel_nxt & P2_EN_MSK;
458
 
459
assign p2_sel = p2sel;
460
 
461
 
462
// P3IN Register
463
//---------------
464 79 olivier.gi
reg  [7:0] p3in_s;
465 2 olivier.gi
reg  [7:0] p3in;
466
 
467
always @ (posedge mclk or posedge puc)
468 79 olivier.gi
  if (puc)
469
    begin
470
       p3in_s <=  8'h00;
471
       p3in   <=  8'h00;
472
    end
473
  else
474
    begin
475
       p3in_s <=  p3_din & P3_EN_MSK;
476
       p3in   <=  p3in_s & P3_EN_MSK;
477
    end
478 2 olivier.gi
 
479
 
480
// P3OUT Register
481
//----------------
482
reg  [7:0] p3out;
483
 
484
wire       p3out_wr  = P3OUT[0] ? reg_hi_wr[P3OUT/2] : reg_lo_wr[P3OUT/2];
485
wire [7:0] p3out_nxt = P3OUT[0] ? per_din[15:8]      : per_din[7:0];
486
 
487
always @ (posedge mclk or posedge puc)
488
  if (puc)            p3out <=  8'h00;
489
  else if (p3out_wr)  p3out <=  p3out_nxt & P3_EN_MSK;
490
 
491
assign p3_dout = p3out;
492
 
493
 
494
// P3DIR Register
495
//----------------
496
reg  [7:0] p3dir;
497
 
498
wire       p3dir_wr  = P3DIR[0] ? reg_hi_wr[P3DIR/2] : reg_lo_wr[P3DIR/2];
499
wire [7:0] p3dir_nxt = P3DIR[0] ? per_din[15:8]      : per_din[7:0];
500
 
501
always @ (posedge mclk or posedge puc)
502
  if (puc)            p3dir <=  8'h00;
503
  else if (p3dir_wr)  p3dir <=  p3dir_nxt & P3_EN_MSK;
504
 
505
assign p3_dout_en = p3dir;
506
 
507
 
508
// P3SEL Register
509
//----------------
510
reg  [7:0] p3sel;
511
 
512
wire       p3sel_wr  = P3SEL[0] ? reg_hi_wr[P3SEL/2] : reg_lo_wr[P3SEL/2];
513
wire [7:0] p3sel_nxt = P3SEL[0] ? per_din[15:8]      : per_din[7:0];
514
 
515
always @ (posedge mclk or posedge puc)
516
  if (puc)           p3sel <=  8'h00;
517
  else if (p3sel_wr) p3sel <=  p3sel_nxt & P3_EN_MSK;
518
 
519
assign p3_sel = p3sel;
520
 
521
 
522
// P4IN Register
523
//---------------
524 79 olivier.gi
reg  [7:0] p4in_s;
525 2 olivier.gi
reg  [7:0] p4in;
526
 
527
always @ (posedge mclk or posedge puc)
528 79 olivier.gi
  if (puc)
529
    begin
530
       p4in_s <=  8'h00;
531
       p4in   <=  8'h00;
532
    end
533
  else
534
    begin
535
       p4in_s <=  p4_din & P4_EN_MSK;
536
       p4in   <=  p4in_s & P4_EN_MSK;
537
    end
538 2 olivier.gi
 
539
 
540
// P4OUT Register
541
//----------------
542
reg  [7:0] p4out;
543
 
544
wire       p4out_wr  = P4OUT[0] ? reg_hi_wr[P4OUT/2] : reg_lo_wr[P4OUT/2];
545
wire [7:0] p4out_nxt = P4OUT[0] ? per_din[15:8]      : per_din[7:0];
546
 
547
always @ (posedge mclk or posedge puc)
548
  if (puc)            p4out <=  8'h00;
549
  else if (p4out_wr)  p4out <=  p4out_nxt & P4_EN_MSK;
550
 
551
assign p4_dout = p4out;
552
 
553
 
554
// P4DIR Register
555
//----------------
556
reg  [7:0] p4dir;
557
 
558
wire       p4dir_wr  = P4DIR[0] ? reg_hi_wr[P4DIR/2] : reg_lo_wr[P4DIR/2];
559
wire [7:0] p4dir_nxt = P4DIR[0] ? per_din[15:8]      : per_din[7:0];
560
 
561
always @ (posedge mclk or posedge puc)
562
  if (puc)            p4dir <=  8'h00;
563
  else if (p4dir_wr)  p4dir <=  p4dir_nxt & P4_EN_MSK;
564
 
565
assign p4_dout_en = p4dir;
566
 
567
 
568
// P4SEL Register
569
//----------------
570
reg  [7:0] p4sel;
571
 
572
wire       p4sel_wr  = P4SEL[0] ? reg_hi_wr[P4SEL/2] : reg_lo_wr[P4SEL/2];
573
wire [7:0] p4sel_nxt = P4SEL[0] ? per_din[15:8]      : per_din[7:0];
574
 
575
always @ (posedge mclk or posedge puc)
576
  if (puc)           p4sel <=  8'h00;
577
  else if (p4sel_wr) p4sel <=  p4sel_nxt & P4_EN_MSK;
578
 
579
assign p4_sel = p4sel;
580
 
581
 
582
// P5IN Register
583
//---------------
584 79 olivier.gi
reg  [7:0] p5in_s;
585 2 olivier.gi
reg  [7:0] p5in;
586
 
587
always @ (posedge mclk or posedge puc)
588 79 olivier.gi
  if (puc)
589
    begin
590
       p5in_s <=  8'h00;
591
       p5in   <=  8'h00;
592
    end
593
  else
594
    begin
595
       p5in_s <=  p5_din & P5_EN_MSK;
596
       p5in   <=  p5in_s & P5_EN_MSK;
597
    end
598 2 olivier.gi
 
599
 
600
// P5OUT Register
601
//----------------
602
reg  [7:0] p5out;
603
 
604
wire       p5out_wr  = P5OUT[0] ? reg_hi_wr[P5OUT/2] : reg_lo_wr[P5OUT/2];
605
wire [7:0] p5out_nxt = P5OUT[0] ? per_din[15:8]      : per_din[7:0];
606
 
607
always @ (posedge mclk or posedge puc)
608
  if (puc)            p5out <=  8'h00;
609
  else if (p5out_wr)  p5out <=  p5out_nxt & P5_EN_MSK;
610
 
611
assign p5_dout = p5out;
612
 
613
 
614
// P5DIR Register
615
//----------------
616
reg  [7:0] p5dir;
617
 
618
wire       p5dir_wr  = P5DIR[0] ? reg_hi_wr[P5DIR/2] : reg_lo_wr[P5DIR/2];
619
wire [7:0] p5dir_nxt = P5DIR[0] ? per_din[15:8]      : per_din[7:0];
620
 
621
always @ (posedge mclk or posedge puc)
622
  if (puc)            p5dir <=  8'h00;
623
  else if (p5dir_wr)  p5dir <=  p5dir_nxt & P5_EN_MSK;
624
 
625
assign p5_dout_en = p5dir;
626
 
627
 
628
// P5SEL Register
629
//----------------
630
reg  [7:0] p5sel;
631
 
632
wire       p5sel_wr  = P5SEL[0] ? reg_hi_wr[P5SEL/2] : reg_lo_wr[P5SEL/2];
633
wire [7:0] p5sel_nxt = P5SEL[0] ? per_din[15:8]      : per_din[7:0];
634
 
635
always @ (posedge mclk or posedge puc)
636
  if (puc)           p5sel <=  8'h00;
637
  else if (p5sel_wr) p5sel <=  p5sel_nxt & P5_EN_MSK;
638
 
639
assign p5_sel = p5sel;
640
 
641
 
642
// P6IN Register
643
//---------------
644 79 olivier.gi
reg  [7:0] p6in_s;
645 2 olivier.gi
reg  [7:0] p6in;
646
 
647
always @ (posedge mclk or posedge puc)
648 79 olivier.gi
  if (puc)
649
    begin
650
       p6in_s <=  8'h00;
651
       p6in   <=  8'h00;
652
    end
653
  else
654
    begin
655
       p6in_s <=  p6_din & P6_EN_MSK;
656
       p6in   <=  p6in_s & P6_EN_MSK;
657
    end
658 2 olivier.gi
 
659
 
660
// P6OUT Register
661
//----------------
662
reg  [7:0] p6out;
663
 
664
wire       p6out_wr  = P6OUT[0] ? reg_hi_wr[P6OUT/2] : reg_lo_wr[P6OUT/2];
665
wire [7:0] p6out_nxt = P6OUT[0] ? per_din[15:8]      : per_din[7:0];
666
 
667
always @ (posedge mclk or posedge puc)
668
  if (puc)            p6out <=  8'h00;
669
  else if (p6out_wr)  p6out <=  p6out_nxt & P6_EN_MSK;
670
 
671
assign p6_dout = p6out;
672
 
673
 
674
// P6DIR Register
675
//----------------
676
reg  [7:0] p6dir;
677
 
678
wire       p6dir_wr  = P6DIR[0] ? reg_hi_wr[P6DIR/2] : reg_lo_wr[P6DIR/2];
679
wire [7:0] p6dir_nxt = P6DIR[0] ? per_din[15:8]      : per_din[7:0];
680
 
681
always @ (posedge mclk or posedge puc)
682
  if (puc)            p6dir <=  8'h00;
683
  else if (p6dir_wr)  p6dir <=  p6dir_nxt & P6_EN_MSK;
684
 
685
assign p6_dout_en = p6dir;
686
 
687
 
688
// P6SEL Register
689
//----------------
690
reg  [7:0] p6sel;
691
 
692
wire       p6sel_wr  = P6SEL[0] ? reg_hi_wr[P6SEL/2] : reg_lo_wr[P6SEL/2];
693
wire [7:0] p6sel_nxt = P6SEL[0] ? per_din[15:8]      : per_din[7:0];
694
 
695
always @ (posedge mclk or posedge puc)
696
  if (puc)           p6sel <=  8'h00;
697
  else if (p6sel_wr) p6sel <=  p6sel_nxt & P6_EN_MSK;
698
 
699
assign p6_sel = p6sel;
700
 
701
 
702
 
703
//============================================================================
704
// 4) INTERRUPT GENERATION
705
//============================================================================
706
 
707
// Port 1 interrupt
708
//------------------
709
 
710
// Delay input
711
reg    [7:0] p1in_dly;
712
always @ (posedge mclk or posedge puc)
713
  if (puc)      p1in_dly <=  8'h00;
714
  else          p1in_dly <=  p1in & P1_EN_MSK;
715
 
716
// Edge detection
717
wire   [7:0] p1in_re   =   p1in & ~p1in_dly;
718
wire   [7:0] p1in_fe   =  ~p1in &  p1in_dly;
719
 
720
// Set interrupt flag
721
assign       p1ifg_set = {p1ies[7] ? p1in_fe[7] : p1in_re[7],
722
                          p1ies[6] ? p1in_fe[6] : p1in_re[6],
723
                          p1ies[5] ? p1in_fe[5] : p1in_re[5],
724
                          p1ies[4] ? p1in_fe[4] : p1in_re[4],
725
                          p1ies[3] ? p1in_fe[3] : p1in_re[3],
726
                          p1ies[2] ? p1in_fe[2] : p1in_re[2],
727
                          p1ies[1] ? p1in_fe[1] : p1in_re[1],
728
                          p1ies[0] ? p1in_fe[0] : p1in_re[0]} & P1_EN_MSK;
729
 
730
// Generate CPU interrupt
731
assign       irq_port1 = |(p1ie & p1ifg) & P1_EN[0];
732
 
733
 
734
// Port 1 interrupt
735
//------------------
736
 
737
// Delay input
738
reg    [7:0] p2in_dly;
739
always @ (posedge mclk or posedge puc)
740
  if (puc)      p2in_dly <=  8'h00;
741
  else          p2in_dly <=  p2in & P2_EN_MSK;
742
 
743
// Edge detection
744
wire   [7:0] p2in_re   =   p2in & ~p2in_dly;
745
wire   [7:0] p2in_fe   =  ~p2in &  p2in_dly;
746
 
747
// Set interrupt flag
748
assign       p2ifg_set = {p2ies[7] ? p2in_fe[7] : p2in_re[7],
749
                          p2ies[6] ? p2in_fe[6] : p2in_re[6],
750
                          p2ies[5] ? p2in_fe[5] : p2in_re[5],
751
                          p2ies[4] ? p2in_fe[4] : p2in_re[4],
752
                          p2ies[3] ? p2in_fe[3] : p2in_re[3],
753
                          p2ies[2] ? p2in_fe[2] : p2in_re[2],
754
                          p2ies[1] ? p2in_fe[1] : p2in_re[1],
755
                          p2ies[0] ? p2in_fe[0] : p2in_re[0]} & P2_EN_MSK;
756
 
757
// Generate CPU interrupt
758
assign      irq_port2 = |(p2ie & p2ifg) & P2_EN[0];
759
 
760
 
761
//============================================================================
762
// 5) DATA OUTPUT GENERATION
763
//============================================================================
764
 
765
// Data output mux
766 85 olivier.gi
wire [15:0] p1in_rd   = {8'h00, (p1in  & {8{reg_rd[P1IN/2]}})}  << (8 & {4{P1IN[0]}});
767
wire [15:0] p1out_rd  = {8'h00, (p1out & {8{reg_rd[P1OUT/2]}})} << (8 & {4{P1OUT[0]}});
768
wire [15:0] p1dir_rd  = {8'h00, (p1dir & {8{reg_rd[P1DIR/2]}})} << (8 & {4{P1DIR[0]}});
769
wire [15:0] p1ifg_rd  = {8'h00, (p1ifg & {8{reg_rd[P1IFG/2]}})} << (8 & {4{P1IFG[0]}});
770
wire [15:0] p1ies_rd  = {8'h00, (p1ies & {8{reg_rd[P1IES/2]}})} << (8 & {4{P1IES[0]}});
771
wire [15:0] p1ie_rd   = {8'h00, (p1ie  & {8{reg_rd[P1IE/2]}})}  << (8 & {4{P1IE[0]}});
772
wire [15:0] p1sel_rd  = {8'h00, (p1sel & {8{reg_rd[P1SEL/2]}})} << (8 & {4{P1SEL[0]}});
773
wire [15:0] p2in_rd   = {8'h00, (p2in  & {8{reg_rd[P2IN/2]}})}  << (8 & {4{P2IN[0]}});
774
wire [15:0] p2out_rd  = {8'h00, (p2out & {8{reg_rd[P2OUT/2]}})} << (8 & {4{P2OUT[0]}});
775
wire [15:0] p2dir_rd  = {8'h00, (p2dir & {8{reg_rd[P2DIR/2]}})} << (8 & {4{P2DIR[0]}});
776
wire [15:0] p2ifg_rd  = {8'h00, (p2ifg & {8{reg_rd[P2IFG/2]}})} << (8 & {4{P2IFG[0]}});
777
wire [15:0] p2ies_rd  = {8'h00, (p2ies & {8{reg_rd[P2IES/2]}})} << (8 & {4{P2IES[0]}});
778
wire [15:0] p2ie_rd   = {8'h00, (p2ie  & {8{reg_rd[P2IE/2]}})}  << (8 & {4{P2IE[0]}});
779
wire [15:0] p2sel_rd  = {8'h00, (p2sel & {8{reg_rd[P2SEL/2]}})} << (8 & {4{P2SEL[0]}});
780
wire [15:0] p3in_rd   = {8'h00, (p3in  & {8{reg_rd[P3IN/2]}})}  << (8 & {4{P3IN[0]}});
781
wire [15:0] p3out_rd  = {8'h00, (p3out & {8{reg_rd[P3OUT/2]}})} << (8 & {4{P3OUT[0]}});
782
wire [15:0] p3dir_rd  = {8'h00, (p3dir & {8{reg_rd[P3DIR/2]}})} << (8 & {4{P3DIR[0]}});
783
wire [15:0] p3sel_rd  = {8'h00, (p3sel & {8{reg_rd[P3SEL/2]}})} << (8 & {4{P3SEL[0]}});
784
wire [15:0] p4in_rd   = {8'h00, (p4in  & {8{reg_rd[P4IN/2]}})}  << (8 & {4{P4IN[0]}});
785
wire [15:0] p4out_rd  = {8'h00, (p4out & {8{reg_rd[P4OUT/2]}})} << (8 & {4{P4OUT[0]}});
786
wire [15:0] p4dir_rd  = {8'h00, (p4dir & {8{reg_rd[P4DIR/2]}})} << (8 & {4{P4DIR[0]}});
787
wire [15:0] p4sel_rd  = {8'h00, (p4sel & {8{reg_rd[P4SEL/2]}})} << (8 & {4{P4SEL[0]}});
788
wire [15:0] p5in_rd   = {8'h00, (p5in  & {8{reg_rd[P5IN/2]}})}  << (8 & {4{P5IN[0]}});
789
wire [15:0] p5out_rd  = {8'h00, (p5out & {8{reg_rd[P5OUT/2]}})} << (8 & {4{P5OUT[0]}});
790
wire [15:0] p5dir_rd  = {8'h00, (p5dir & {8{reg_rd[P5DIR/2]}})} << (8 & {4{P5DIR[0]}});
791
wire [15:0] p5sel_rd  = {8'h00, (p5sel & {8{reg_rd[P5SEL/2]}})} << (8 & {4{P5SEL[0]}});
792
wire [15:0] p6in_rd   = {8'h00, (p6in  & {8{reg_rd[P6IN/2]}})}  << (8 & {4{P6IN[0]}});
793
wire [15:0] p6out_rd  = {8'h00, (p6out & {8{reg_rd[P6OUT/2]}})} << (8 & {4{P6OUT[0]}});
794
wire [15:0] p6dir_rd  = {8'h00, (p6dir & {8{reg_rd[P6DIR/2]}})} << (8 & {4{P6DIR[0]}});
795
wire [15:0] p6sel_rd  = {8'h00, (p6sel & {8{reg_rd[P6SEL/2]}})} << (8 & {4{P6SEL[0]}});
796 2 olivier.gi
 
797
wire [15:0] per_dout  =  p1in_rd   |
798
                         p1out_rd  |
799
                         p1dir_rd  |
800
                         p1ifg_rd  |
801
                         p1ies_rd  |
802
                         p1ie_rd   |
803
                         p1sel_rd  |
804
                         p2in_rd   |
805
                         p2out_rd  |
806
                         p2dir_rd  |
807
                         p2ifg_rd  |
808
                         p2ies_rd  |
809
                         p2ie_rd   |
810
                         p2sel_rd  |
811
                         p3in_rd   |
812
                         p3out_rd  |
813
                         p3dir_rd  |
814
                         p3sel_rd  |
815
                         p4in_rd   |
816
                         p4out_rd  |
817
                         p4dir_rd  |
818
                         p4sel_rd  |
819
                         p5in_rd   |
820
                         p5out_rd  |
821
                         p5dir_rd  |
822
                         p5sel_rd  |
823
                         p6in_rd   |
824
                         p6out_rd  |
825
                         p6dir_rd  |
826
                         p6sel_rd;
827
 
828 34 olivier.gi
endmodule // omsp_gpio
829 2 olivier.gi
 
830 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
831
`else
832 33 olivier.gi
`include "openMSP430_undefines.v"
833 103 olivier.gi
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.