OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [rtl/] [verilog/] [periph/] [omsp_gpio.v] - Blame information for rev 106

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2001 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25 34 olivier.gi
// *File Name: omsp_gpio.v
26 2 olivier.gi
// 
27
// *Module Description:
28
//                       Digital I/O interface
29
//
30
// *Author(s):
31
//              - Olivier Girard,    olgirard@gmail.com
32
//
33
//----------------------------------------------------------------------------
34 17 olivier.gi
// $Rev: 106 $
35
// $LastChangedBy: olivier.girard $
36
// $LastChangedDate: 2011-03-25 23:01:03 +0100 (Fri, 25 Mar 2011) $
37
//----------------------------------------------------------------------------
38 2 olivier.gi
 
39 34 olivier.gi
module  omsp_gpio (
40 2 olivier.gi
 
41
// OUTPUTs
42
    irq_port1,                      // Port 1 interrupt
43
    irq_port2,                      // Port 2 interrupt
44
    p1_dout,                        // Port 1 data output
45
    p1_dout_en,                     // Port 1 data output enable
46
    p1_sel,                         // Port 1 function select
47
    p2_dout,                        // Port 2 data output
48
    p2_dout_en,                     // Port 2 data output enable
49
    p2_sel,                         // Port 2 function select
50
    p3_dout,                        // Port 3 data output
51
    p3_dout_en,                     // Port 3 data output enable
52
    p3_sel,                         // Port 3 function select
53
    p4_dout,                        // Port 4 data output
54
    p4_dout_en,                     // Port 4 data output enable
55
    p4_sel,                         // Port 4 function select
56
    p5_dout,                        // Port 5 data output
57
    p5_dout_en,                     // Port 5 data output enable
58
    p5_sel,                         // Port 5 function select
59
    p6_dout,                        // Port 6 data output
60
    p6_dout_en,                     // Port 6 data output enable
61
    p6_sel,                         // Port 6 function select
62
    per_dout,                       // Peripheral data output
63
 
64
// INPUTs
65
    mclk,                           // Main system clock
66
    p1_din,                         // Port 1 data input
67
    p2_din,                         // Port 2 data input
68
    p3_din,                         // Port 3 data input
69
    p4_din,                         // Port 4 data input
70
    p5_din,                         // Port 5 data input
71
    p6_din,                         // Port 6 data input
72
    per_addr,                       // Peripheral address
73
    per_din,                        // Peripheral data input
74
    per_en,                         // Peripheral enable (high active)
75 106 olivier.gi
    per_we,                         // Peripheral write enable (high active)
76 2 olivier.gi
    puc                             // Main system reset
77
);
78
 
79
// PARAMETERs
80
//============
81
parameter           P1_EN = 1'b1;   // Enable Port 1
82
parameter           P2_EN = 1'b1;   // Enable Port 2
83
parameter           P3_EN = 1'b0;   // Enable Port 3
84
parameter           P4_EN = 1'b0;   // Enable Port 4
85
parameter           P5_EN = 1'b0;   // Enable Port 5
86
parameter           P6_EN = 1'b0;   // Enable Port 6
87
 
88
 
89
// OUTPUTs
90
//=========
91
output              irq_port1;      // Port 1 interrupt
92
output              irq_port2;      // Port 2 interrupt
93
output        [7:0] p1_dout;        // Port 1 data output
94
output        [7:0] p1_dout_en;     // Port 1 data output enable
95
output        [7:0] p1_sel;         // Port 1 function select
96
output        [7:0] p2_dout;        // Port 2 data output
97
output        [7:0] p2_dout_en;     // Port 2 data output enable
98
output        [7:0] p2_sel;         // Port 2 function select
99
output        [7:0] p3_dout;        // Port 3 data output
100
output        [7:0] p3_dout_en;     // Port 3 data output enable
101
output        [7:0] p3_sel;         // Port 3 function select
102
output        [7:0] p4_dout;        // Port 4 data output
103
output        [7:0] p4_dout_en;     // Port 4 data output enable
104
output        [7:0] p4_sel;         // Port 4 function select
105
output        [7:0] p5_dout;        // Port 5 data output
106
output        [7:0] p5_dout_en;     // Port 5 data output enable
107
output        [7:0] p5_sel;         // Port 5 function select
108
output        [7:0] p6_dout;        // Port 6 data output
109
output        [7:0] p6_dout_en;     // Port 6 data output enable
110
output        [7:0] p6_sel;         // Port 6 function select
111
output       [15:0] per_dout;       // Peripheral data output
112
 
113
// INPUTs
114
//=========
115
input               mclk;           // Main system clock
116
input         [7:0] p1_din;         // Port 1 data input
117
input         [7:0] p2_din;         // Port 2 data input
118
input         [7:0] p3_din;         // Port 3 data input
119
input         [7:0] p4_din;         // Port 4 data input
120
input         [7:0] p5_din;         // Port 5 data input
121
input         [7:0] p6_din;         // Port 6 data input
122
input         [7:0] per_addr;       // Peripheral address
123
input        [15:0] per_din;        // Peripheral data input
124
input               per_en;         // Peripheral enable (high active)
125 106 olivier.gi
input         [1:0] per_we;         // Peripheral write enable (high active)
126 2 olivier.gi
input               puc;            // Main system reset
127
 
128
 
129
//=============================================================================
130
// 1)  PARAMETER DECLARATION
131
//=============================================================================
132
 
133
// Masks
134
parameter           P1_EN_MSK   = {8{P1_EN[0]}};
135
parameter           P2_EN_MSK   = {8{P2_EN[0]}};
136
parameter           P3_EN_MSK   = {8{P3_EN[0]}};
137
parameter           P4_EN_MSK   = {8{P4_EN[0]}};
138
parameter           P5_EN_MSK   = {8{P5_EN[0]}};
139
parameter           P6_EN_MSK   = {8{P6_EN[0]}};
140
 
141
// Register addresses
142
parameter           P1IN        = 9'h020;                  // Port 1
143
parameter           P1OUT       = 9'h021;
144
parameter           P1DIR       = 9'h022;
145
parameter           P1IFG       = 9'h023;
146
parameter           P1IES       = 9'h024;
147
parameter           P1IE        = 9'h025;
148
parameter           P1SEL       = 9'h026;
149
parameter           P2IN        = 9'h028;                  // Port 2
150
parameter           P2OUT       = 9'h029;
151
parameter           P2DIR       = 9'h02A;
152
parameter           P2IFG       = 9'h02B;
153
parameter           P2IES       = 9'h02C;
154
parameter           P2IE        = 9'h02D;
155
parameter           P2SEL       = 9'h02E;
156
parameter           P3IN        = 9'h018;                  // Port 3
157
parameter           P3OUT       = 9'h019;
158
parameter           P3DIR       = 9'h01A;
159
parameter           P3SEL       = 9'h01B;
160
parameter           P4IN        = 9'h01C;                  // Port 4
161
parameter           P4OUT       = 9'h01D;
162
parameter           P4DIR       = 9'h01E;
163
parameter           P4SEL       = 9'h01F;
164
parameter           P5IN        = 9'h030;                  // Port 5
165
parameter           P5OUT       = 9'h031;
166
parameter           P5DIR       = 9'h032;
167
parameter           P5SEL       = 9'h033;
168
parameter           P6IN        = 9'h034;                  // Port 6
169
parameter           P6OUT       = 9'h035;
170
parameter           P6DIR       = 9'h036;
171
parameter           P6SEL       = 9'h037;
172
 
173
 
174
// Register one-hot decoder
175
parameter           P1IN_D      = (256'h1 << (P1IN  /2));  // Port 1
176
parameter           P1OUT_D     = (256'h1 << (P1OUT /2));
177
parameter           P1DIR_D     = (256'h1 << (P1DIR /2));
178
parameter           P1IFG_D     = (256'h1 << (P1IFG /2));
179
parameter           P1IES_D     = (256'h1 << (P1IES /2));
180
parameter           P1IE_D      = (256'h1 << (P1IE  /2));
181
parameter           P1SEL_D     = (256'h1 << (P1SEL /2));
182
parameter           P2IN_D      = (256'h1 << (P2IN  /2));  // Port 2
183
parameter           P2OUT_D     = (256'h1 << (P2OUT /2));
184
parameter           P2DIR_D     = (256'h1 << (P2DIR /2));
185
parameter           P2IFG_D     = (256'h1 << (P2IFG /2));
186
parameter           P2IES_D     = (256'h1 << (P2IES /2));
187
parameter           P2IE_D      = (256'h1 << (P2IE  /2));
188
parameter           P2SEL_D     = (256'h1 << (P2SEL /2));
189
parameter           P3IN_D      = (256'h1 << (P3IN  /2));  // Port 3
190
parameter           P3OUT_D     = (256'h1 << (P3OUT /2));
191
parameter           P3DIR_D     = (256'h1 << (P3DIR /2));
192
parameter           P3SEL_D     = (256'h1 << (P3SEL /2));
193
parameter           P4IN_D      = (256'h1 << (P4IN  /2));  // Port 4
194
parameter           P4OUT_D     = (256'h1 << (P4OUT /2));
195
parameter           P4DIR_D     = (256'h1 << (P4DIR /2));
196
parameter           P4SEL_D     = (256'h1 << (P4SEL /2));
197
parameter           P5IN_D      = (256'h1 << (P5IN  /2));  // Port 5
198
parameter           P5OUT_D     = (256'h1 << (P5OUT /2));
199
parameter           P5DIR_D     = (256'h1 << (P5DIR /2));
200
parameter           P5SEL_D     = (256'h1 << (P5SEL /2));
201
parameter           P6IN_D      = (256'h1 << (P6IN  /2));  // Port 6
202
parameter           P6OUT_D     = (256'h1 << (P6OUT /2));
203
parameter           P6DIR_D     = (256'h1 << (P6DIR /2));
204
parameter           P6SEL_D     = (256'h1 << (P6SEL /2));
205
 
206
 
207
//============================================================================
208
// 2)  REGISTER DECODER
209
//============================================================================
210
 
211
// Register address decode
212
reg  [255:0]  reg_dec;
213
always @(per_addr)
214
  case (per_addr)
215
    (P1IN  /2):   reg_dec  =  P1IN_D   & {256{P1_EN[0]}};
216
    (P1OUT /2):   reg_dec  =  P1OUT_D  & {256{P1_EN[0]}};
217
    (P1DIR /2):   reg_dec  =  P1DIR_D  & {256{P1_EN[0]}};
218
    (P1IFG /2):   reg_dec  =  P1IFG_D  & {256{P1_EN[0]}};
219
    (P1IES /2):   reg_dec  =  P1IES_D  & {256{P1_EN[0]}};
220
    (P1IE  /2):   reg_dec  =  P1IE_D   & {256{P1_EN[0]}};
221
    (P1SEL /2):   reg_dec  =  P1SEL_D  & {256{P1_EN[0]}};
222
    (P2IN  /2):   reg_dec  =  P2IN_D   & {256{P2_EN[0]}};
223
    (P2OUT /2):   reg_dec  =  P2OUT_D  & {256{P2_EN[0]}};
224
    (P2DIR /2):   reg_dec  =  P2DIR_D  & {256{P2_EN[0]}};
225
    (P2IFG /2):   reg_dec  =  P2IFG_D  & {256{P2_EN[0]}};
226
    (P2IES /2):   reg_dec  =  P2IES_D  & {256{P2_EN[0]}};
227
    (P2IE  /2):   reg_dec  =  P2IE_D   & {256{P2_EN[0]}};
228
    (P2SEL /2):   reg_dec  =  P2SEL_D  & {256{P2_EN[0]}};
229
    (P3IN  /2):   reg_dec  =  P3IN_D   & {256{P3_EN[0]}};
230
    (P3OUT /2):   reg_dec  =  P3OUT_D  & {256{P3_EN[0]}};
231
    (P3DIR /2):   reg_dec  =  P3DIR_D  & {256{P3_EN[0]}};
232
    (P3SEL /2):   reg_dec  =  P3SEL_D  & {256{P3_EN[0]}};
233
    (P4IN  /2):   reg_dec  =  P4IN_D   & {256{P4_EN[0]}};
234
    (P4OUT /2):   reg_dec  =  P4OUT_D  & {256{P4_EN[0]}};
235
    (P4DIR /2):   reg_dec  =  P4DIR_D  & {256{P4_EN[0]}};
236
    (P4SEL /2):   reg_dec  =  P4SEL_D  & {256{P4_EN[0]}};
237
    (P5IN  /2):   reg_dec  =  P5IN_D   & {256{P5_EN[0]}};
238
    (P5OUT /2):   reg_dec  =  P5OUT_D  & {256{P5_EN[0]}};
239
    (P5DIR /2):   reg_dec  =  P5DIR_D  & {256{P5_EN[0]}};
240
    (P5SEL /2):   reg_dec  =  P5SEL_D  & {256{P5_EN[0]}};
241
    (P6IN  /2):   reg_dec  =  P6IN_D   & {256{P6_EN[0]}};
242
    (P6OUT /2):   reg_dec  =  P6OUT_D  & {256{P6_EN[0]}};
243
    (P6DIR /2):   reg_dec  =  P6DIR_D  & {256{P6_EN[0]}};
244
    (P6SEL /2):   reg_dec  =  P6SEL_D  & {256{P6_EN[0]}};
245
    default   :   reg_dec  =  {256{1'b0}};
246
  endcase
247
 
248
// Read/Write probes
249 106 olivier.gi
wire         reg_lo_write =  per_we[0] & per_en;
250
wire         reg_hi_write =  per_we[1] & per_en;
251
wire         reg_read     = ~|per_we   & per_en;
252 2 olivier.gi
 
253
// Read/Write vectors
254
wire [255:0] reg_hi_wr    = reg_dec & {256{reg_hi_write}};
255
wire [255:0] reg_lo_wr    = reg_dec & {256{reg_lo_write}};
256
wire [255:0] reg_rd       = reg_dec & {256{reg_read}};
257
 
258
 
259
//============================================================================
260
// 3) REGISTERS
261
//============================================================================
262
 
263
// P1IN Register
264
//---------------
265 79 olivier.gi
reg  [7:0] p1in_s;
266 2 olivier.gi
reg  [7:0] p1in;
267
 
268
always @ (posedge mclk or posedge puc)
269 79 olivier.gi
  if (puc)
270
    begin
271
       p1in_s <=  8'h00;
272
       p1in   <=  8'h00;
273
    end
274
  else
275
    begin
276
       p1in_s <=  p1_din & P1_EN_MSK;
277
       p1in   <=  p1in_s & P1_EN_MSK;
278
    end
279 2 olivier.gi
 
280
 
281
// P1OUT Register
282
//----------------
283
reg  [7:0] p1out;
284
 
285
wire       p1out_wr  = P1OUT[0] ? reg_hi_wr[P1OUT/2] : reg_lo_wr[P1OUT/2];
286
wire [7:0] p1out_nxt = P1OUT[0] ? per_din[15:8]      : per_din[7:0];
287
 
288
always @ (posedge mclk or posedge puc)
289
  if (puc)            p1out <=  8'h00;
290
  else if (p1out_wr)  p1out <=  p1out_nxt & P1_EN_MSK;
291
 
292
assign p1_dout = p1out;
293
 
294
 
295
// P1DIR Register
296
//----------------
297
reg  [7:0] p1dir;
298
 
299
wire       p1dir_wr  = P1DIR[0] ? reg_hi_wr[P1DIR/2] : reg_lo_wr[P1DIR/2];
300
wire [7:0] p1dir_nxt = P1DIR[0] ? per_din[15:8]      : per_din[7:0];
301
 
302
always @ (posedge mclk or posedge puc)
303
  if (puc)            p1dir <=  8'h00;
304
  else if (p1dir_wr)  p1dir <=  p1dir_nxt & P1_EN_MSK;
305
 
306
assign p1_dout_en = p1dir;
307
 
308
 
309
// P1IFG Register
310
//----------------
311
reg  [7:0] p1ifg;
312
 
313
wire       p1ifg_wr  = P1IFG[0] ? reg_hi_wr[P1IFG/2] : reg_lo_wr[P1IFG/2];
314
wire [7:0] p1ifg_nxt = P1IFG[0] ? per_din[15:8]      : per_din[7:0];
315
wire [7:0] p1ifg_set;
316
 
317
always @ (posedge mclk or posedge puc)
318
  if (puc)            p1ifg <=  8'h00;
319
  else if (p1ifg_wr)  p1ifg <=  (p1ifg_nxt | p1ifg_set) & P1_EN_MSK;
320
  else                p1ifg <=  (p1ifg     | p1ifg_set) & P1_EN_MSK;
321
 
322
// P1IES Register
323
//----------------
324
reg  [7:0] p1ies;
325
 
326
wire       p1ies_wr  = P1IES[0] ? reg_hi_wr[P1IES/2] : reg_lo_wr[P1IES/2];
327
wire [7:0] p1ies_nxt = P1IES[0] ? per_din[15:8]      : per_din[7:0];
328
 
329
always @ (posedge mclk or posedge puc)
330
  if (puc)            p1ies <=  8'h00;
331
  else if (p1ies_wr)  p1ies <=  p1ies_nxt & P1_EN_MSK;
332
 
333
 
334
// P1IE Register
335
//----------------
336
reg  [7:0] p1ie;
337
 
338
wire       p1ie_wr  = P1IE[0] ? reg_hi_wr[P1IE/2] : reg_lo_wr[P1IE/2];
339
wire [7:0] p1ie_nxt = P1IE[0] ? per_din[15:8]     : per_din[7:0];
340
 
341
always @ (posedge mclk or posedge puc)
342
  if (puc)           p1ie <=  8'h00;
343
  else if (p1ie_wr)  p1ie <=  p1ie_nxt & P1_EN_MSK;
344
 
345
 
346
// P1SEL Register
347
//----------------
348
reg  [7:0] p1sel;
349
 
350
wire       p1sel_wr  = P1SEL[0] ? reg_hi_wr[P1SEL/2] : reg_lo_wr[P1SEL/2];
351
wire [7:0] p1sel_nxt = P1SEL[0] ? per_din[15:8]      : per_din[7:0];
352
 
353
always @ (posedge mclk or posedge puc)
354
  if (puc)           p1sel <=  8'h00;
355
  else if (p1sel_wr) p1sel <=  p1sel_nxt & P1_EN_MSK;
356
 
357
assign p1_sel = p1sel;
358
 
359
 
360
// P2IN Register
361
//---------------
362 79 olivier.gi
reg  [7:0] p2in_s;
363 2 olivier.gi
reg  [7:0] p2in;
364
 
365
always @ (posedge mclk or posedge puc)
366 79 olivier.gi
  if (puc)
367
    begin
368
       p2in_s <=  8'h00;
369
       p2in   <=  8'h00;
370
    end
371
  else
372
    begin
373
       p2in_s <=  p2_din & P2_EN_MSK;
374
       p2in   <=  p2in_s & P2_EN_MSK;
375
    end
376 2 olivier.gi
 
377
 
378
// P2OUT Register
379
//----------------
380
reg  [7:0] p2out;
381
 
382
wire       p2out_wr  = P2OUT[0] ? reg_hi_wr[P2OUT/2] : reg_lo_wr[P2OUT/2];
383
wire [7:0] p2out_nxt = P2OUT[0] ? per_din[15:8]      : per_din[7:0];
384
 
385
always @ (posedge mclk or posedge puc)
386
  if (puc)            p2out <=  8'h00;
387
  else if (p2out_wr)  p2out <=  p2out_nxt & P2_EN_MSK;
388
 
389
assign p2_dout = p2out;
390
 
391
 
392
// P2DIR Register
393
//----------------
394
reg  [7:0] p2dir;
395
 
396
wire       p2dir_wr  = P2DIR[0] ? reg_hi_wr[P2DIR/2] : reg_lo_wr[P2DIR/2];
397
wire [7:0] p2dir_nxt = P2DIR[0] ? per_din[15:8]      : per_din[7:0];
398
 
399
always @ (posedge mclk or posedge puc)
400
  if (puc)            p2dir <=  8'h00;
401
  else if (p2dir_wr)  p2dir <=  p2dir_nxt & P2_EN_MSK;
402
 
403
assign p2_dout_en = p2dir;
404
 
405
 
406
// P2IFG Register
407
//----------------
408
reg  [7:0] p2ifg;
409
 
410
wire       p2ifg_wr  = P2IFG[0] ? reg_hi_wr[P2IFG/2] : reg_lo_wr[P2IFG/2];
411
wire [7:0] p2ifg_nxt = P2IFG[0] ? per_din[15:8]      : per_din[7:0];
412
wire [7:0] p2ifg_set;
413
 
414
always @ (posedge mclk or posedge puc)
415
  if (puc)            p2ifg <=  8'h00;
416
  else if (p2ifg_wr)  p2ifg <=  (p2ifg_nxt | p2ifg_set) & P2_EN_MSK;
417
  else                p2ifg <=  (p2ifg     | p2ifg_set) & P2_EN_MSK;
418
 
419
 
420
// P2IES Register
421
//----------------
422
reg  [7:0] p2ies;
423
 
424
wire       p2ies_wr  = P2IES[0] ? reg_hi_wr[P2IES/2] : reg_lo_wr[P2IES/2];
425
wire [7:0] p2ies_nxt = P2IES[0] ? per_din[15:8]      : per_din[7:0];
426
 
427
always @ (posedge mclk or posedge puc)
428
  if (puc)            p2ies <=  8'h00;
429
  else if (p2ies_wr)  p2ies <=  p2ies_nxt & P2_EN_MSK;
430
 
431
 
432
// P2IE Register
433
//----------------
434
reg  [7:0] p2ie;
435
 
436
wire       p2ie_wr  = P2IE[0] ? reg_hi_wr[P2IE/2] : reg_lo_wr[P2IE/2];
437
wire [7:0] p2ie_nxt = P2IE[0] ? per_din[15:8]     : per_din[7:0];
438
 
439
always @ (posedge mclk or posedge puc)
440
  if (puc)           p2ie <=  8'h00;
441
  else if (p2ie_wr)  p2ie <=  p2ie_nxt & P2_EN_MSK;
442
 
443
 
444
// P2SEL Register
445
//----------------
446
reg  [7:0] p2sel;
447
 
448
wire       p2sel_wr  = P2SEL[0] ? reg_hi_wr[P2SEL/2] : reg_lo_wr[P2SEL/2];
449
wire [7:0] p2sel_nxt = P2SEL[0] ? per_din[15:8]      : per_din[7:0];
450
 
451
always @ (posedge mclk or posedge puc)
452
  if (puc)           p2sel <=  8'h00;
453
  else if (p2sel_wr) p2sel <=  p2sel_nxt & P2_EN_MSK;
454
 
455
assign p2_sel = p2sel;
456
 
457
 
458
// P3IN Register
459
//---------------
460 79 olivier.gi
reg  [7:0] p3in_s;
461 2 olivier.gi
reg  [7:0] p3in;
462
 
463
always @ (posedge mclk or posedge puc)
464 79 olivier.gi
  if (puc)
465
    begin
466
       p3in_s <=  8'h00;
467
       p3in   <=  8'h00;
468
    end
469
  else
470
    begin
471
       p3in_s <=  p3_din & P3_EN_MSK;
472
       p3in   <=  p3in_s & P3_EN_MSK;
473
    end
474 2 olivier.gi
 
475
 
476
// P3OUT Register
477
//----------------
478
reg  [7:0] p3out;
479
 
480
wire       p3out_wr  = P3OUT[0] ? reg_hi_wr[P3OUT/2] : reg_lo_wr[P3OUT/2];
481
wire [7:0] p3out_nxt = P3OUT[0] ? per_din[15:8]      : per_din[7:0];
482
 
483
always @ (posedge mclk or posedge puc)
484
  if (puc)            p3out <=  8'h00;
485
  else if (p3out_wr)  p3out <=  p3out_nxt & P3_EN_MSK;
486
 
487
assign p3_dout = p3out;
488
 
489
 
490
// P3DIR Register
491
//----------------
492
reg  [7:0] p3dir;
493
 
494
wire       p3dir_wr  = P3DIR[0] ? reg_hi_wr[P3DIR/2] : reg_lo_wr[P3DIR/2];
495
wire [7:0] p3dir_nxt = P3DIR[0] ? per_din[15:8]      : per_din[7:0];
496
 
497
always @ (posedge mclk or posedge puc)
498
  if (puc)            p3dir <=  8'h00;
499
  else if (p3dir_wr)  p3dir <=  p3dir_nxt & P3_EN_MSK;
500
 
501
assign p3_dout_en = p3dir;
502
 
503
 
504
// P3SEL Register
505
//----------------
506
reg  [7:0] p3sel;
507
 
508
wire       p3sel_wr  = P3SEL[0] ? reg_hi_wr[P3SEL/2] : reg_lo_wr[P3SEL/2];
509
wire [7:0] p3sel_nxt = P3SEL[0] ? per_din[15:8]      : per_din[7:0];
510
 
511
always @ (posedge mclk or posedge puc)
512
  if (puc)           p3sel <=  8'h00;
513
  else if (p3sel_wr) p3sel <=  p3sel_nxt & P3_EN_MSK;
514
 
515
assign p3_sel = p3sel;
516
 
517
 
518
// P4IN Register
519
//---------------
520 79 olivier.gi
reg  [7:0] p4in_s;
521 2 olivier.gi
reg  [7:0] p4in;
522
 
523
always @ (posedge mclk or posedge puc)
524 79 olivier.gi
  if (puc)
525
    begin
526
       p4in_s <=  8'h00;
527
       p4in   <=  8'h00;
528
    end
529
  else
530
    begin
531
       p4in_s <=  p4_din & P4_EN_MSK;
532
       p4in   <=  p4in_s & P4_EN_MSK;
533
    end
534 2 olivier.gi
 
535
 
536
// P4OUT Register
537
//----------------
538
reg  [7:0] p4out;
539
 
540
wire       p4out_wr  = P4OUT[0] ? reg_hi_wr[P4OUT/2] : reg_lo_wr[P4OUT/2];
541
wire [7:0] p4out_nxt = P4OUT[0] ? per_din[15:8]      : per_din[7:0];
542
 
543
always @ (posedge mclk or posedge puc)
544
  if (puc)            p4out <=  8'h00;
545
  else if (p4out_wr)  p4out <=  p4out_nxt & P4_EN_MSK;
546
 
547
assign p4_dout = p4out;
548
 
549
 
550
// P4DIR Register
551
//----------------
552
reg  [7:0] p4dir;
553
 
554
wire       p4dir_wr  = P4DIR[0] ? reg_hi_wr[P4DIR/2] : reg_lo_wr[P4DIR/2];
555
wire [7:0] p4dir_nxt = P4DIR[0] ? per_din[15:8]      : per_din[7:0];
556
 
557
always @ (posedge mclk or posedge puc)
558
  if (puc)            p4dir <=  8'h00;
559
  else if (p4dir_wr)  p4dir <=  p4dir_nxt & P4_EN_MSK;
560
 
561
assign p4_dout_en = p4dir;
562
 
563
 
564
// P4SEL Register
565
//----------------
566
reg  [7:0] p4sel;
567
 
568
wire       p4sel_wr  = P4SEL[0] ? reg_hi_wr[P4SEL/2] : reg_lo_wr[P4SEL/2];
569
wire [7:0] p4sel_nxt = P4SEL[0] ? per_din[15:8]      : per_din[7:0];
570
 
571
always @ (posedge mclk or posedge puc)
572
  if (puc)           p4sel <=  8'h00;
573
  else if (p4sel_wr) p4sel <=  p4sel_nxt & P4_EN_MSK;
574
 
575
assign p4_sel = p4sel;
576
 
577
 
578
// P5IN Register
579
//---------------
580 79 olivier.gi
reg  [7:0] p5in_s;
581 2 olivier.gi
reg  [7:0] p5in;
582
 
583
always @ (posedge mclk or posedge puc)
584 79 olivier.gi
  if (puc)
585
    begin
586
       p5in_s <=  8'h00;
587
       p5in   <=  8'h00;
588
    end
589
  else
590
    begin
591
       p5in_s <=  p5_din & P5_EN_MSK;
592
       p5in   <=  p5in_s & P5_EN_MSK;
593
    end
594 2 olivier.gi
 
595
 
596
// P5OUT Register
597
//----------------
598
reg  [7:0] p5out;
599
 
600
wire       p5out_wr  = P5OUT[0] ? reg_hi_wr[P5OUT/2] : reg_lo_wr[P5OUT/2];
601
wire [7:0] p5out_nxt = P5OUT[0] ? per_din[15:8]      : per_din[7:0];
602
 
603
always @ (posedge mclk or posedge puc)
604
  if (puc)            p5out <=  8'h00;
605
  else if (p5out_wr)  p5out <=  p5out_nxt & P5_EN_MSK;
606
 
607
assign p5_dout = p5out;
608
 
609
 
610
// P5DIR Register
611
//----------------
612
reg  [7:0] p5dir;
613
 
614
wire       p5dir_wr  = P5DIR[0] ? reg_hi_wr[P5DIR/2] : reg_lo_wr[P5DIR/2];
615
wire [7:0] p5dir_nxt = P5DIR[0] ? per_din[15:8]      : per_din[7:0];
616
 
617
always @ (posedge mclk or posedge puc)
618
  if (puc)            p5dir <=  8'h00;
619
  else if (p5dir_wr)  p5dir <=  p5dir_nxt & P5_EN_MSK;
620
 
621
assign p5_dout_en = p5dir;
622
 
623
 
624
// P5SEL Register
625
//----------------
626
reg  [7:0] p5sel;
627
 
628
wire       p5sel_wr  = P5SEL[0] ? reg_hi_wr[P5SEL/2] : reg_lo_wr[P5SEL/2];
629
wire [7:0] p5sel_nxt = P5SEL[0] ? per_din[15:8]      : per_din[7:0];
630
 
631
always @ (posedge mclk or posedge puc)
632
  if (puc)           p5sel <=  8'h00;
633
  else if (p5sel_wr) p5sel <=  p5sel_nxt & P5_EN_MSK;
634
 
635
assign p5_sel = p5sel;
636
 
637
 
638
// P6IN Register
639
//---------------
640 79 olivier.gi
reg  [7:0] p6in_s;
641 2 olivier.gi
reg  [7:0] p6in;
642
 
643
always @ (posedge mclk or posedge puc)
644 79 olivier.gi
  if (puc)
645
    begin
646
       p6in_s <=  8'h00;
647
       p6in   <=  8'h00;
648
    end
649
  else
650
    begin
651
       p6in_s <=  p6_din & P6_EN_MSK;
652
       p6in   <=  p6in_s & P6_EN_MSK;
653
    end
654 2 olivier.gi
 
655
 
656
// P6OUT Register
657
//----------------
658
reg  [7:0] p6out;
659
 
660
wire       p6out_wr  = P6OUT[0] ? reg_hi_wr[P6OUT/2] : reg_lo_wr[P6OUT/2];
661
wire [7:0] p6out_nxt = P6OUT[0] ? per_din[15:8]      : per_din[7:0];
662
 
663
always @ (posedge mclk or posedge puc)
664
  if (puc)            p6out <=  8'h00;
665
  else if (p6out_wr)  p6out <=  p6out_nxt & P6_EN_MSK;
666
 
667
assign p6_dout = p6out;
668
 
669
 
670
// P6DIR Register
671
//----------------
672
reg  [7:0] p6dir;
673
 
674
wire       p6dir_wr  = P6DIR[0] ? reg_hi_wr[P6DIR/2] : reg_lo_wr[P6DIR/2];
675
wire [7:0] p6dir_nxt = P6DIR[0] ? per_din[15:8]      : per_din[7:0];
676
 
677
always @ (posedge mclk or posedge puc)
678
  if (puc)            p6dir <=  8'h00;
679
  else if (p6dir_wr)  p6dir <=  p6dir_nxt & P6_EN_MSK;
680
 
681
assign p6_dout_en = p6dir;
682
 
683
 
684
// P6SEL Register
685
//----------------
686
reg  [7:0] p6sel;
687
 
688
wire       p6sel_wr  = P6SEL[0] ? reg_hi_wr[P6SEL/2] : reg_lo_wr[P6SEL/2];
689
wire [7:0] p6sel_nxt = P6SEL[0] ? per_din[15:8]      : per_din[7:0];
690
 
691
always @ (posedge mclk or posedge puc)
692
  if (puc)           p6sel <=  8'h00;
693
  else if (p6sel_wr) p6sel <=  p6sel_nxt & P6_EN_MSK;
694
 
695
assign p6_sel = p6sel;
696
 
697
 
698
 
699
//============================================================================
700
// 4) INTERRUPT GENERATION
701
//============================================================================
702
 
703
// Port 1 interrupt
704
//------------------
705
 
706
// Delay input
707
reg    [7:0] p1in_dly;
708
always @ (posedge mclk or posedge puc)
709
  if (puc)      p1in_dly <=  8'h00;
710
  else          p1in_dly <=  p1in & P1_EN_MSK;
711
 
712
// Edge detection
713
wire   [7:0] p1in_re   =   p1in & ~p1in_dly;
714
wire   [7:0] p1in_fe   =  ~p1in &  p1in_dly;
715
 
716
// Set interrupt flag
717
assign       p1ifg_set = {p1ies[7] ? p1in_fe[7] : p1in_re[7],
718
                          p1ies[6] ? p1in_fe[6] : p1in_re[6],
719
                          p1ies[5] ? p1in_fe[5] : p1in_re[5],
720
                          p1ies[4] ? p1in_fe[4] : p1in_re[4],
721
                          p1ies[3] ? p1in_fe[3] : p1in_re[3],
722
                          p1ies[2] ? p1in_fe[2] : p1in_re[2],
723
                          p1ies[1] ? p1in_fe[1] : p1in_re[1],
724
                          p1ies[0] ? p1in_fe[0] : p1in_re[0]} & P1_EN_MSK;
725
 
726
// Generate CPU interrupt
727
assign       irq_port1 = |(p1ie & p1ifg) & P1_EN[0];
728
 
729
 
730
// Port 1 interrupt
731
//------------------
732
 
733
// Delay input
734
reg    [7:0] p2in_dly;
735
always @ (posedge mclk or posedge puc)
736
  if (puc)      p2in_dly <=  8'h00;
737
  else          p2in_dly <=  p2in & P2_EN_MSK;
738
 
739
// Edge detection
740
wire   [7:0] p2in_re   =   p2in & ~p2in_dly;
741
wire   [7:0] p2in_fe   =  ~p2in &  p2in_dly;
742
 
743
// Set interrupt flag
744
assign       p2ifg_set = {p2ies[7] ? p2in_fe[7] : p2in_re[7],
745
                          p2ies[6] ? p2in_fe[6] : p2in_re[6],
746
                          p2ies[5] ? p2in_fe[5] : p2in_re[5],
747
                          p2ies[4] ? p2in_fe[4] : p2in_re[4],
748
                          p2ies[3] ? p2in_fe[3] : p2in_re[3],
749
                          p2ies[2] ? p2in_fe[2] : p2in_re[2],
750
                          p2ies[1] ? p2in_fe[1] : p2in_re[1],
751
                          p2ies[0] ? p2in_fe[0] : p2in_re[0]} & P2_EN_MSK;
752
 
753
// Generate CPU interrupt
754
assign      irq_port2 = |(p2ie & p2ifg) & P2_EN[0];
755
 
756
 
757
//============================================================================
758
// 5) DATA OUTPUT GENERATION
759
//============================================================================
760
 
761
// Data output mux
762 85 olivier.gi
wire [15:0] p1in_rd   = {8'h00, (p1in  & {8{reg_rd[P1IN/2]}})}  << (8 & {4{P1IN[0]}});
763
wire [15:0] p1out_rd  = {8'h00, (p1out & {8{reg_rd[P1OUT/2]}})} << (8 & {4{P1OUT[0]}});
764
wire [15:0] p1dir_rd  = {8'h00, (p1dir & {8{reg_rd[P1DIR/2]}})} << (8 & {4{P1DIR[0]}});
765
wire [15:0] p1ifg_rd  = {8'h00, (p1ifg & {8{reg_rd[P1IFG/2]}})} << (8 & {4{P1IFG[0]}});
766
wire [15:0] p1ies_rd  = {8'h00, (p1ies & {8{reg_rd[P1IES/2]}})} << (8 & {4{P1IES[0]}});
767
wire [15:0] p1ie_rd   = {8'h00, (p1ie  & {8{reg_rd[P1IE/2]}})}  << (8 & {4{P1IE[0]}});
768
wire [15:0] p1sel_rd  = {8'h00, (p1sel & {8{reg_rd[P1SEL/2]}})} << (8 & {4{P1SEL[0]}});
769
wire [15:0] p2in_rd   = {8'h00, (p2in  & {8{reg_rd[P2IN/2]}})}  << (8 & {4{P2IN[0]}});
770
wire [15:0] p2out_rd  = {8'h00, (p2out & {8{reg_rd[P2OUT/2]}})} << (8 & {4{P2OUT[0]}});
771
wire [15:0] p2dir_rd  = {8'h00, (p2dir & {8{reg_rd[P2DIR/2]}})} << (8 & {4{P2DIR[0]}});
772
wire [15:0] p2ifg_rd  = {8'h00, (p2ifg & {8{reg_rd[P2IFG/2]}})} << (8 & {4{P2IFG[0]}});
773
wire [15:0] p2ies_rd  = {8'h00, (p2ies & {8{reg_rd[P2IES/2]}})} << (8 & {4{P2IES[0]}});
774
wire [15:0] p2ie_rd   = {8'h00, (p2ie  & {8{reg_rd[P2IE/2]}})}  << (8 & {4{P2IE[0]}});
775
wire [15:0] p2sel_rd  = {8'h00, (p2sel & {8{reg_rd[P2SEL/2]}})} << (8 & {4{P2SEL[0]}});
776
wire [15:0] p3in_rd   = {8'h00, (p3in  & {8{reg_rd[P3IN/2]}})}  << (8 & {4{P3IN[0]}});
777
wire [15:0] p3out_rd  = {8'h00, (p3out & {8{reg_rd[P3OUT/2]}})} << (8 & {4{P3OUT[0]}});
778
wire [15:0] p3dir_rd  = {8'h00, (p3dir & {8{reg_rd[P3DIR/2]}})} << (8 & {4{P3DIR[0]}});
779
wire [15:0] p3sel_rd  = {8'h00, (p3sel & {8{reg_rd[P3SEL/2]}})} << (8 & {4{P3SEL[0]}});
780
wire [15:0] p4in_rd   = {8'h00, (p4in  & {8{reg_rd[P4IN/2]}})}  << (8 & {4{P4IN[0]}});
781
wire [15:0] p4out_rd  = {8'h00, (p4out & {8{reg_rd[P4OUT/2]}})} << (8 & {4{P4OUT[0]}});
782
wire [15:0] p4dir_rd  = {8'h00, (p4dir & {8{reg_rd[P4DIR/2]}})} << (8 & {4{P4DIR[0]}});
783
wire [15:0] p4sel_rd  = {8'h00, (p4sel & {8{reg_rd[P4SEL/2]}})} << (8 & {4{P4SEL[0]}});
784
wire [15:0] p5in_rd   = {8'h00, (p5in  & {8{reg_rd[P5IN/2]}})}  << (8 & {4{P5IN[0]}});
785
wire [15:0] p5out_rd  = {8'h00, (p5out & {8{reg_rd[P5OUT/2]}})} << (8 & {4{P5OUT[0]}});
786
wire [15:0] p5dir_rd  = {8'h00, (p5dir & {8{reg_rd[P5DIR/2]}})} << (8 & {4{P5DIR[0]}});
787
wire [15:0] p5sel_rd  = {8'h00, (p5sel & {8{reg_rd[P5SEL/2]}})} << (8 & {4{P5SEL[0]}});
788
wire [15:0] p6in_rd   = {8'h00, (p6in  & {8{reg_rd[P6IN/2]}})}  << (8 & {4{P6IN[0]}});
789
wire [15:0] p6out_rd  = {8'h00, (p6out & {8{reg_rd[P6OUT/2]}})} << (8 & {4{P6OUT[0]}});
790
wire [15:0] p6dir_rd  = {8'h00, (p6dir & {8{reg_rd[P6DIR/2]}})} << (8 & {4{P6DIR[0]}});
791
wire [15:0] p6sel_rd  = {8'h00, (p6sel & {8{reg_rd[P6SEL/2]}})} << (8 & {4{P6SEL[0]}});
792 2 olivier.gi
 
793
wire [15:0] per_dout  =  p1in_rd   |
794
                         p1out_rd  |
795
                         p1dir_rd  |
796
                         p1ifg_rd  |
797
                         p1ies_rd  |
798
                         p1ie_rd   |
799
                         p1sel_rd  |
800
                         p2in_rd   |
801
                         p2out_rd  |
802
                         p2dir_rd  |
803
                         p2ifg_rd  |
804
                         p2ies_rd  |
805
                         p2ie_rd   |
806
                         p2sel_rd  |
807
                         p3in_rd   |
808
                         p3out_rd  |
809
                         p3dir_rd  |
810
                         p3sel_rd  |
811
                         p4in_rd   |
812
                         p4out_rd  |
813
                         p4dir_rd  |
814
                         p4sel_rd  |
815
                         p5in_rd   |
816
                         p5out_rd  |
817
                         p5dir_rd  |
818
                         p5sel_rd  |
819
                         p6in_rd   |
820
                         p6out_rd  |
821
                         p6dir_rd  |
822
                         p6sel_rd;
823
 
824 34 olivier.gi
endmodule // omsp_gpio

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.