OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-dev/] [or1k-gcc/] [libjava/] [classpath/] [org/] [omg/] [CORBA/] [SetOverrideType.java] - Blame information for rev 775

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 775 jeremybenn
/* SetOverrideType.java --
2
   Copyright (C) 2005 Free Software Foundation, Inc.
3
 
4
This file is part of GNU Classpath.
5
 
6
GNU Classpath is free software; you can redistribute it and/or modify
7
it under the terms of the GNU General Public License as published by
8
the Free Software Foundation; either version 2, or (at your option)
9
any later version.
10
 
11
GNU Classpath is distributed in the hope that it will be useful, but
12
WITHOUT ANY WARRANTY; without even the implied warranty of
13
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
General Public License for more details.
15
 
16
You should have received a copy of the GNU General Public License
17
along with GNU Classpath; see the file COPYING.  If not, write to the
18
Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
19
02110-1301 USA.
20
 
21
Linking this library statically or dynamically with other modules is
22
making a combined work based on this library.  Thus, the terms and
23
conditions of the GNU General Public License cover the whole
24
combination.
25
 
26
As a special exception, the copyright holders of this library give you
27
permission to link this library with independent modules to produce an
28
executable, regardless of the license terms of these independent
29
modules, and to copy and distribute the resulting executable under
30
terms of your choice, provided that you also meet, for each linked
31
independent module, the terms and conditions of the license of that
32
module.  An independent module is a module which is not derived from
33
or based on this library.  If you modify this library, you may extend
34
this exception to your version of the library, but you are not
35
obligated to do so.  If you do not wish to do so, delete this
36
exception statement from your version. */
37
 
38
 
39
package org.omg.CORBA;
40
 
41
import java.io.Serializable;
42
 
43
import org.omg.CORBA.portable.IDLEntity;
44
 
45
/**
46
 * Defines the instruction, how the newly specified policies can be
47
 * taken into consideration. The policies can be either
48
 * added to the current policies or replace them.
49
 *
50
 * @author Audrius Meskauskas (AudriusA@Bioinformatics.org)
51
 */
52
public class SetOverrideType
53
  implements Serializable, IDLEntity
54
{
55
  /**
56
   * Use v 1.4 serialVersionUID for interoperability.
57
   */
58
  private static final long serialVersionUID = -2761857189425106972L;
59
 
60
  /**
61
   * Add the new policies to the existing policies.
62
   */
63
  public static final int _ADD_OVERRIDE = 1;
64
 
65
  /**
66
   * Replace the new existing policies by the new policies.
67
   */
68
  public static final int _SET_OVERRIDE = 0;
69
 
70
  /**
71
   * Add the new policies to the existing policies.
72
   * An instance of SetOverrideType, initialized to _ADD_OVERRIDE.
73
   */
74
  public static final SetOverrideType ADD_OVERRIDE =
75
    new SetOverrideType(_ADD_OVERRIDE);
76
 
77
  /**
78
   * Replace the new existing policies by the new policies.
79
   * An instance of SetOverrideType, initialized to _SET_OVERRIDE.
80
   */
81
  public static final SetOverrideType SET_OVERRIDE =
82
    new SetOverrideType(_SET_OVERRIDE);
83
 
84
  private final int _value;
85
 
86
  /**
87
   * No other instances can be created.
88
   */
89
  protected SetOverrideType(int a_value)
90
  {
91
    _value = a_value;
92
  }
93
 
94
  /**
95
   * Returns the SetOverrideType, matching the given integer constant
96
   * @param kind one of _ADD_OVERRIDE or _SET_OVERRIDE.
97
   *
98
   * @return one of ADD_OVERRIDE or SET_OVERRIDE.
99
   *
100
   * @throws BAD_PARAM if the parameter is not one of these two values.
101
   */
102
  public static SetOverrideType from_int(int kind)
103
  {
104
    switch (kind)
105
      {
106
        case _ADD_OVERRIDE :
107
          return ADD_OVERRIDE;
108
 
109
        case _SET_OVERRIDE :
110
          return SET_OVERRIDE;
111
 
112
        default :
113
          throw new BAD_PARAM("invalid add/override type " + kind);
114
      }
115
  }
116
 
117
  /**
118
   * Returns a short string representation.
119
   *
120
   * @return either "add" or "replace".
121
   */
122
  public String toString()
123
  {
124
    return (_value == _ADD_OVERRIDE) ? "add" : "replace";
125
  }
126
 
127
  /**
128
   * Returns the value, representing stored instruction.
129
   *
130
   * @return one of ADD_OVERRIDE or SET_OVERRIDE
131
   */
132
  public int value()
133
  {
134
    return _value;
135
  }
136
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.